当前位置:文档之家› 北航电子电路设计训练数字部分实验报告

北航电子电路设计训练数字部分实验报告

北航电子电路设计训练数字部分实验报告
北航电子电路设计训练数字部分实验报告

2014-2015-2-G02A3050-1

电子电路设计训练(数字EDA部分)

实验报告

( 2015 年 6月 24 日)

仪器科学与光电工程学院

目录

目录 (1)

实验一、简单组合逻辑和简单时序逻辑 (3)

1.1 实验任务1——简单组合逻辑 (3)

1.1.1 实验要求 (3)

1.1.2 模块的核心逻辑设计 (3)

1.1.3 测试程序的核心逻辑设计 (4)

1.1.4 仿真实验关键结果及其解释 (4)

1.2 实验任务2——简单时序逻辑 (5)

1.2.1 实验要求 (5)

1.2.2 模块的核心逻辑设计 (5)

1.2.3 测试程序的核心逻辑设计 (5)

1.2.4 仿真实验关键结果及其解释 (6)

1.3 实验小结 (6)

实验二、条件语句和always过程块 (7)

2.1 实验任务1——条件语句实现计数分频时序电路 (7)

2.1.1 实验要求 (7)

2.1.2 模块的核心逻辑设计 (7)

2.1.3 测试程序的核心逻辑设计 (8)

2.1.4 仿真实验关键结果及其解释 (8)

2.2 实验任务2——always块实现较复杂的组合逻辑电路 (9)

2.2.1 实验要求 (9)

2.2.2 模块的核心逻辑设计 (9)

2.2.3 测试程序的核心逻辑设计 (10)

2.2.4 仿真实验关键结果及其解释 (11)

2.3 实验小结 (12)

实验三、赋值、函数和任务 (12)

3.1 实验任务1——阻塞赋值与非阻塞赋值的区别 (12)

3.1.1 实验要求 (12)

3.1.2 模块的核心逻辑设计 (12)

3.1.3 测试程序的核心逻辑设计 (13)

3.1.4 仿真实验关键结果及其解释 (14)

3.2 实验任务2——在Verilog HDL中使用函数 (14)

3.2.1 实验要求 (14)

3.2.2 模块的核心逻辑设计 (14)

3.2.3 测试程序的核心逻辑设计 (16)

3.2.4 仿真实验关键结果及其解释 (17)

3.3 实验任务3——在Verilog HDL中使用任务(task) (18)

3.3.1 实验要求 (18)

3.3.2 模块的核心逻辑设计 (18)

3.3.3 测试程序的核心逻辑设计 (19)

3.3.4 仿真实验关键结果及其解释 (19)

实验四、有限状态机 (20)

4.1 实验任务1——利用有限状态机进行时序逻辑的设计 (20)

4.1.1 实验要求 (20)

4.1.2 模块的核心逻辑设计 (20)

4.1.3 测试程序的核心逻辑设计 (21)

4.1.4 仿真实验关键结果及其解释 (21)

4.2 实验任务2——串行数据采样器 (22)

4.2.1 实验要求 (22)

4.2.2 模块的核心逻辑设计 (22)

4.2.3 测试程序的核心逻辑设计 (24)

4.2.4 仿真实验关键结果及其解释 (26)

4.3 实验小结 (27)

实验一、简单组合逻辑和简单时序逻辑

1.1 实验任务1——简单组合逻辑

1.1.1 实验要求

实验代码提供的是一个可综合的数据比较器。从语句中可以看出是比较数据a,b的结果,结果相同输出1,否则输出0.测试模块用于检测模块设计是否正确,给出输入信号,观察模块的内部信号和输出信号以确定设计是否正确。

练习题:设计一个字节(8位)的比较器,比较两个字节的大小,如a[7:0]大于b[7:0]则输出高电平,否则输出低电平。

1.1.2 模块的核心逻辑设计

//compare.v第一种实现方式:

assign

module compare(equal,a,b);

input a,b; //a、b为输入

output equal; //equal为输出

assign equal=(a==b)?1:0;

//a=b时输出为1,否则输出为0

endmodule

//compare.v第二种实现方式:always

module compare(equal,a,b);

input a,b;

output equal;

reg equal;

always @(a or b)

//a或b的值发生变化时执行判断

if(a==b)

equal=1;

else

equal=0;

endmodule

//练习题compare_8.v:

module compare_8(a,b,out);

//与练习一的不同只在于a、b要定义为8位

input[7:0] a;

input[7:0] b;

output out;

reg out; always @(a or b) begin

if(a>b)

out=1;

else

out=0;

end endmodule

1.1.3 测试程序的核心逻辑设计

//compare_test.v

`timescale 1ns/1ns

//仿真时间及步长设置

initial

begin

a=0;

b=0;

//a、b都初始化为0

#100 a=0;b=1;

#100 a=1;b=1;

#100 a=1;b=0;

#100 a=0;b=0;

//用所有可能情况赋值测试

#100 $stop;

end

compare

m(.equal(equal),.a(a),.b(b));

//compare模块实例化

//compare_8_test.v

initial //变量初始化

begin

a=0;

b=0;

clock=0;

end

always #50 clock=~clock;

// 产生周期为100ns时钟信号

always@(posedge clock)

//每次时钟上升沿到来,用随机

数给a、b赋值,观察输出的变化

begin

/ a={$random}%8;

b={$random}%8;

//产生8位随机二进制数字

end

1.1.4 仿真实验关键结果及其解释

练习一的仿真结果如图 1所示。可以看到,随着a和b的值变化,输出值按照要求变化,并且在功能仿真中没有延迟,同步变化。

图 1 练习一仿真波形

练习题的仿真结果如下图所示。可以看到,每次时钟上升沿到来时a、b的

值发生变化,输出随之变化。

图 2 练习题仿真结果

1.2 实验任务2——简单时序逻辑

1.2.1 实验要求

设计二分之一分频器的可综合模型。

1.2.2 模块的核心逻辑设计

always @(posedge clk_in)

begin

if(! reset) //reset为同步复位输入端

clk_out=0;

else

clk_out=~clk_out;//每次输入时钟的上升沿让输出时钟反转,实现二分频

end

1.2.3 测试程序的核心逻辑设计

`define clk_cycle 50 //宏定义

always #`clk_cycle clk=~clk; //产生输入时钟

initial

begin

clk=0;

reset=1;

#10 reset=0;

#110 reset=1; //将初始时的不定态进行复位,开始分频输出。

#100000 $stop; //停止仿真

end

1.2.4 仿真实验关键结果及其解释

仿真结果如下图所示。可以看到,输出时钟clk_out的周期是输入时钟clk 的二倍,即实现了二分频。

图 3 练习二仿真结果

1.3 实验小结

本次实验中,我们第一次学习使用Modelsim软件,对课上所学的Verilog 语法进行了巩固和提高,参考书中给出的例子自己进行了基本组合电路和时序电路的设计,也学会了编写简单的测试模块对系统进行较为全面的测试。

实验二、条件语句和always过程块

2.1 实验任务1——条件语句实现计数分频时序电路

2.1.1 实验要求

通过定义计数器,利用条件语句,获得一个1/20分频器,将10MHz的时钟分频为500KHz。

练习题:利用10MHz的时钟设计一个单周期形状的周期波形。

2.1.2 模块的核心逻辑设计

//练习三fdivision.v:

always@(posedge F10M)

if(!reset) //同步复位端

begin

F500K<=0;

j<=0;

end

else

begin

if(j==9)

/*用j实现计数,从0开始每个时钟上升沿自增1,增加到9后输出反转,实现20分频。这里书中是增加到19后反转,这样得到的信号周期是原信号的1/40,并不是1/20,故在实验中进行了修改*/

begin

j<=0;

F500K=~F500K;

end

else

j<=j+1;

end

//练习题:wave.v

always@(posedge F10M)

if(!reset) begin

outwave<=0; j<=0;

end

else begin

if(j==200) begin

//从0开始累加到200后输出置1

j<=j+1;

outwave<=1;

end

else if(j==300) begin

//累加到300时输出置0

j<=j+1;

outwave<=0;

end

else if(j==500) begin

//累加到500时,完成一个周期,计数器清零

j<=0;

outwave<=0;

end

else

j<=j+1;

end

2.1.3 测试程序的核心逻辑设计

//fdivision_test.v

always #`clk F10M=~F10M; //产生输入时钟信号

initial

begin

reset=1;

F10M=0;

#100 reset=0;

#100 reset=1;

#10000 $stop;

end

fdivision fdivision(.reset(reset),.F10M(F10M),.F500K(F500K)); //模块实例化

//wave_test.v

always #`clk F10M=~F10M; //产生输入时钟

initial

begin

reset=1;

F10M=0;

#100 reset=0;

#100 reset=1;

#1000000 $stop;

end

2.1.4 仿真实验关键结果及其解释

练习三的仿真结果如下图所示。可以看到,输出时钟F500K的周期是输入F10M的1/20,符合题目要求,说明对书中代码的修改是正确的。

图 4 练习三仿真结果

练习题的功能仿真结果如下图所示。可以看到,最终输出的波形周期为

50us,符合题目要求。

图 5 练习题仿真结果

2.2 实验任务2——always块实现较复杂的组合逻辑电路2.2.1 实验要求

设计一个简单的指令译码电路。通过判断指令,对输入数据执行相应的操作,

包括加减与或求反,需要做出及时的反应。

练习题:运用always块设计一个8路数据选择器。

2.2.2 模块的核心逻辑设计

//练习五:alu.v

`define plus 3'd0

`define minus 3'd1

`define band 3'd2

`define bor 3'd3

`define unegate 3'd4 //宏定义不同的操作方式

always@(opcode or a or b)

begin

case(opcode)

//判断操作方式,对操作数a、b 进行相应的操作

`plus:out=a+b;

`minus:out=a-b;

`band:out=a&b;

`bor:out=a|b;

`unegate:out=~a;

default:out=8'hx;

endcase

end

//练习题:mux_8.v

always @(addr or in1 or in2 or in3 or in4 or in5 or in6 or in7 or in0 or ncs)

//in0至in7为8个数据输入端,三维寄存器addr为选择输入,用case 分支完成选择

begin

if(!ncs)

case(addr)

3'b000: mout = in0;

3'b001: mout = in1;

3'b010: mout = in2;

3'b011: mout = in3;

3'b100: mout = in4;

3'b101: mout = in5;

3'b110: mout = in6;

3'b111: mout = in7;

endcase

else

mout = 0;

end

2.2.3 测试程序的核心逻辑设计

//alu_test.v

parameter t=5;

initial

begin

a={$random}%256;

b={$random}%256;

opcode=3'h0;

repeat(t)

begin

#100 a={$random}%256; b={$random}%256; //给a、b随机赋值

opcode=opcode+1; end

#100 $stop;

end

//mux_8_test.v

initial

begin

ncs=0;

in0={$random}%16;

in7={$random}%16;

addr=3'b000;

repeat(9)

//用随机数每次给数据输入端赋不同的值,观察输出结果

begin

#10

in0={$random}%16;

in7={$random}%16; addr=addr+1;

end

#10 $stop;

end

2.2.4 仿真实验关键结果及其解释

练习五仿真结果如下图所示,可以看到操作数取0、1、2、3、4时,分别完成了加、减、与、或、求反操作。

图 6 练习五仿真结果

练习题仿真结果如下图所示。从图中看出,addr取不同值时,输出mout分别等于不同输入端的值,说明仿真结果是正确的。

图 7 练习题仿真结果

2.3 实验小结

本次实验中比第一次更为复杂,有更多需要自己编程实现的部分,并且书中也有一些错误的地方需要修改,因此我们使用Verilog进行开发的能力进一步增强,也学会了if、case条件分支的使用以及always块在较复杂的组合逻辑电路中的应用。

实验三、赋值、函数和任务

3.1 实验任务1——阻塞赋值与非阻塞赋值的区别

3.1.1 实验要求

通过实验,掌握阻塞赋值和非阻塞赋值的区别,了解两者的不同使用场合。3.1.2 模块的核心逻辑设计

//练习四blocking.v

module blocking(clk,a,b,c); output[3:0] b,c;

input[3:0] a;

input clk;

reg[3:0]b,c;

always@(posedge clk)

begin

b=a;

c=b;

$display("Blocking:a=%d,b=%d,c=%

d.",a,b,c);

end

endmodule

//练习四non_blocking.v

module

non_blocking(clk,a,b,c);

output[3:0] b,c;

input[3:0] a;

input clk;

reg[3:0]b,c;

always@(posedge clk)

begin

b<=a;

c<=b;

$display("Non_Blocking:a=%d,b=%d ,c=%d.",a,b,c);

end

endmodule

//练习四练习题blocking1.v module blocking1(clk,a,b,c); output[3:0] b,c;

input[3:0] a;

input clk;

reg[3:0]b,c;

always@(posedge clk)

begin c=b;

b=a;

$display("Blocking1:a=%d,b=%d,c= %d.",a,b,c);

end

endmodule

//练习四练习题blocking2.v module blocking2(clk,a,b,c); output[3:0] b,c;

input[3:0] a;

input clk; reg[3:0]b,c;

always@(posedge clk) b=a; always@(posedge clk) c=b; endmodule

3.1.3 测试程序的核心逻辑设计

//compare_Top.v

`timescale 1ns/100ps

module compare_Top;

wire[3:0]b1,c1,b2,c2,b3,c3,b4,c4 ;

reg[3:0]a;

reg clk;

initial

begin

clk=0;

forever #50 clk=~clk;

end

initial

begin a=4'h3;

$display("-----------");

#100 a=4'h7;

$display("----------");

#100 a=4'hf;

$display("----------");

#100 a=4'ha;

$display("---------");

#100 a=4'h2;

$display("----------");

#100 $display("---------"); $stop;

end

non_blocking

non_blocking(clk,a,b2,c2);

blocking blocking(clk,a,b1,c1); blocking1

blocking1(clk,a,b3,c3); blocking2

blocking2(clk,a,b4,c4); endmodule

3.1.4 仿真实验关键结果及其解释

图 8 练习四仿真结果

仿真图中a对应输入,b1、c1对应blocking.v的模块逻辑输出,b1=c1,可以看出在时序逻辑中使用阻塞赋值有可能会导致输出逻辑结果不正确。而在blocking1中,改变了两者的赋值顺序,就可以实现和non_blocking.v一样的效果。Blocking2.v中使用多个时钟,在无优化的仿真中,逻辑输出也有错误。

3.2 实验任务2——在Verilog HDL中使用函数

3.2.1 实验要求

利用一个函数调用的实例,采用同步时钟触发运算的执行,每个clk时钟周期前都会执行一次运算,并在测试模块中,通过调用系统任务$display及在时钟下降沿显示每次计算的结果。

3.2.2 模块的核心逻辑设计

//练习六tryfunct.v

module

tryfunct(clk,n,result,reset); output[31:0] result;

input[3:0] n;

input reset,clk; reg[31:0] result;

always @(posedge clk)

begin

if(!resetmodule

tryfunct(clk,n,result,reset);

output[31:0] result;

input[3:0] n;

input reset,clk;

reg[31:0] result;

always @(posedge clk)

begin

if(!reset)

result<=0;

else

begin

result<=n*factorial(n)/((n*2)+1) ;

end

end

function [31:0] factorial;

input [3:0] operand;

reg [3:0] index;

begin

factorial=operand?1:0;

for(index=2;index<=operand;index =index+1)

factorial=index*factorial;

end

endfunction

endmodule

)

result<=0;

else

begin

result<=n*factorial(n)/((n*2)+1) ;

end

end

function [31:0] factorial;

input [3:0] operand;

reg [3:0] index;

begin

factorial=operand?1:0;

for(index=2;index<=operand;index =index+1)

factorial=index*factorial;

end

endfunction

endmodule

//练习六练习题controlfunc.v

module

controlfunc(clk,n,result,reset,a );

output[7:0] result;

input[3:0] n;

input[1:0] a;

input reset,clk;

reg[7:0] result;

always @(posedge clk or a)

begin

if(!reset) result<=0;

else

begin

case(a)

2'b00: result=1;

2'b01: result=factorial(n);

2'b10: result=pf(n);

2'b11: result=lf(n);

endcase

end

end

function [7:0] factorial;

input [3:0] operand;

reg [3:0] index;

begin

factorial=(operand<6& &operand>=0)?1:0;

for(index=2;index<=operand;in dex=index+1)

factorial=index*factorial;

end

endfunction

function [7:0]pf;

input [3:0] operand;

reg [3:0] index;

begin

pf=operand*operand;

end

endfunction

function [7:0]lf;

input [3:0] operand;

reg [3:0] index;

begin

lf=operand*operand*operand;

end

endfunction

endmodule

3.2.3 测试程序的核心逻辑设计

//练习六tryfuctTop.v `include"./tryfunct.v" `timescale 1ns/100ps `define clk_cycle 50

module tryfuctTop;

reg[3:0] n,i;

reg reset,clk;

wire[31:0] result;

initial

begin

clk=0;

n=0;

reset=1;

#100 reset=0;

#100 reset=1;

for(i=0;i<=15;i=i+1)

begin

#200 n=i;

end

#100 $stop;

end

always #`clk_cycle clk=~clk;

tryfunct

m(.clk(clk),.n(n),.result(result ),.reset(reset));

endmodule

//练习六练习题test.v

`include"./controlfunc.v" `timescale 1us/1ns

`define clk_cycle 50

module test;

reg[3:0] n; reg[1:0] a;

reg reset,clk; wire[7:0] result; parameter t=16; initial

begin

clk=0;

n=0;

reset=1;

a=2'b0;

#10 reset=0;

#50 reset=1;

repeat(t)

begin

#200 a={$random}%3;

#200 n={$random}%15;

end

#100 $stop;

end

always #`clk_cycle clk=~clk;

controlfunc

m(.clk(clk),.n(n),.result(result ),.reset(reset),.a(a)); endmodule

3.2.4 仿真实验关键结果及其解释

//练习六仿真结果

图 9 练习六仿真结果

仿真结果利用十进制表示,模块调用函数来完成输入值n的阶乘,之后输出n*n!/(n*2+1)的整数部分,机result值。

//实验六练习题

图 10 练习六练习题仿真结果

图中定义a为控制变量,a=1,表示求阶乘,a=2表示求平方,a=3表示求立方,其他输出结果为0。利用随机数产生输入值n,当n为负值时,输出为0。

3.3 实验任务3——在Verilog HDL中使用任务(task)3.3.1 实验要求

利用always块和一个比较两变量大小排序的任务,设计出4个(4位)并行输入数的高速排列组合逻辑。

3.3.2 模块的核心逻辑设计

module

sort4(ra,rb,rc,rd,a,b,c,d);

output[3:0] ra,rb,rc,rd;

input[3:0] a,b,c,d;

reg[3:0] ra,rb,rc,rd;

reg[3:0] va,vb,vc,vd;

always@(a or b or c or d)

begin

{va,vb,vc,vd}={a,b,c,d}; sort2(va,vc);

sort2(vb,vd);

sort2(vc,vd);

sort2(vb,vc);

{ra,rb,rc,rd}={va,vb,vc,vd}; end

task sort2;

inout[3:0] x,y; reg[3:0] tmp; if(x>y)

begin

tmp=x;

x=y;

y=tmp;

end

endtask endmodule

3.3.3 测试程序的核心逻辑设计

`timescale 1ns/100ps `include "sort4.v"

module task_Top; reg[3:0] a,b,c,d;

wire[3:0] ra,rb,rc,rd;

initial begin

a=0;b=0;c=0;d=0; repeat(50) begin

#100 a={$random}%15;

b={$random}%15; c={$random}%15; d={$random}%15; end

#100 $stop; end

sort4

sort4(.a(a),.b(b),.c(c),.d(d),.r a(ra),.rb(rb),.rc(rc),.rd(rd)); endmodule

3.3.4 仿真实验关键结果及其解释

图 11 练习七仿真结果

从实验仿真结果可以看出,利用task 非常方便的实现两数据之间的交换排序,通过在电平敏感的always 块中多次调用,实现4变量的高速排序,是用函数无法实现相同的功能。另外,task 也避免了直接用一般语句来描述所引起的不易理解和综合时产生冗余逻辑的问题。

电力电子技术实验报告

实验一 SCR、GTO、MOSFET、GTR、IGBT特性实验 一、实验目的 (1)掌握各种电力电子器件的工作特性。 (2)掌握各器件对触发信号的要求。 二、实验所需挂件及附件 序 型号备注 号 1DJK01 电源控制屏该控制屏包含“三相电源输出”等几个模块。2DJK06 给定及实验器件该挂件包含“二极管”等几个模块。 3DJK07 新器件特性实验 DJK09 单相调压与可调负 4 载 5万用表自备 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载电阻R 串联后接至直流电源的两端,由DJK06上的给定为新器件提供触发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压器调节的直流电压源。 实验线路的具体接线如下图所示: 四、实验内容 (1)晶闸管(SCR)特性实验。

(3)功率场效应管(MOSFET)特性实验。

(5)绝缘双极性晶体管(IGBT)特性实验。 五、实验方法 (1)按图3-26接线,首先将晶闸管(SCR)接入主电路,在实验开始时,将DJK06上的给定电位器RP1沿逆时针旋到底,S1拨到“正给定”侧,S2拨到“给定”侧,单相调压器逆时针调到底,DJK09上的可调电阻调到阻值为最大的位置;打开DJK06的电源开关,按下控制屏上的“启动”按钮,然后缓慢调节调压器,同时监视电压表的读数,当直流电压升到40V时,停止调节单相调压器(在以后的其他实验中,均不用调节);调节给定电位器RP1,逐步增加给定电压,监视电压表、电流表的读数,当电压表指示接近零(表示管子完全导通),停止调节,记录给定电压U

电力电子电路分析与仿真实验报告模板剖析

电力电子电路分析与仿真 实验报告 学院:哈尔滨理工大学荣成学院 专业: 班级: 姓名: 学号: 年月日

实验1降压变换器 一、实验目的: 设计一个降压变换器,输入电压为220V,输出电压为50V,纹波电压为输出电压的0.2%,负载电阻为20欧,工作频率分别为220kHz。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 四、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

3.仿真模型如图所示。 六、参数设置 七、仿真结果分析

实验2升压变换器 一、实验目的: 将一个输入电压在3~6V的不稳定电源升压到稳定的15V,纹波电压低于0.2%,负载电阻10欧,开关管选择MOSFET,开关频率为40kHz,要求电感电流连续。 二、实验内容: 1、设计参数。 2、建立仿真模型。 3、仿真结果与分析。 三、实验用设备仪器及材料: MATLAB仿真软件 五、实验原理图: 五、实验方法及步骤: 1.建立一个仿真模型的新文件。在MATLAB的菜单栏上点击File,选择New,再在弹出菜单中选择Model,这时出现一个空白的仿真平台,在这个平台上可以绘制电路的仿真模型。 2.提取电路元器件模块。在仿真模型窗口的菜单上点击Simulink调出模型库浏览器,在模型库中提取所需的模块放到仿真窗口。

电力电子技术实验报告

实验一 DC-DC 变换电路的性能研究 一、实验目的 熟悉Matlab 的仿真实验环境,熟悉Buck 电路、Boost 电路、Cuk 电路及单端反激变换(Flyback )电路的工作原理,掌握这几种种基本DC-DC 变换电路的工作状态及波形情况,初步了解闭环控制技术在电力电子变换电路中的应用。 二、实验内容 1.Buck 变换电路的建模,波形观察及相关电压测试 2.Boost 变换电路的建模,波形观察及相关电压测试; 3.Cuk 电路的建模,波形观察及电压测试; 4.单端反激变换(Flyback )电路的建模,波形观察及电压测试,简单闭环控制原理研究。 (一)Buck 变换电路实验 (1)电感电容的计算过程: V V 500=,电流连续时,D=0.4; 临界负载电流为I= 20 50 =2.5A ; 保证电感电流连续:)1(20D I f V L s -?= =5 .210002024.0-150????) (=0.375mH 纹波电压 0.2%= s s f LCf D V ?8-10) (,在由电感值0.375mH ,算出C=31.25uF 。 (2)仿真模型如下: 在20KHz 工作频率下的波形如下:

示波器显示的六个波形依次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形。 在50KHz工作频率下的波形如下: 示波器显示的六个波形一次为:MOSFET的门极电压、流过电阻两端的电流、电感电流、输出电压、MOSFET电流及续流二极管电流的波形; 建立仿真模型如下:

(3)输出电压的平均值显示在仿真图上,分别为49.85,49.33; (4)提高开关频率,临界负载电流变小,电感电流更容易连续,输出电压的脉动减小,使得输出波形应更稳定。 (二)Boost 变换电路实验 (1)电感电容的计算过程: 升压比M= S V V 0=D -11,0V =15V,S V =6V,解得D=60%; 纹波电压0.2%=s c f f D ? ,c f RC 1=,s f =40KHz,求得L=12uH,C=750uf 。 建立仿真模型如下:

2018年北航科学与技术教育考研(045117)考试科目、招生人数、参考书目、复习指导---新祥旭考研

2018年北航科学与技术教育(045117)考试科目、招生人数、参考书目、 复习指导 一、招生信息 所属学院:人文社会科学学院 招生人数:25 所属门类代码、名称:教育学[04] 所属一级学科代码、名称:教育硕士[0451] 二、研究方向: 01不区分研究方向 三、初试考试科目: ①101思想政治理论 ②204英语二 ③333教育综合 ④911材料综合或921通信类专业综合或933控制工程综合或942机械设计综合或951力学基础或961计算机基础综合或971机械工程专业综合 四、参考书目 911材料综合 《物理化学》高等教育出版社傅献彩 《材料现代分析测试方法》北京理工大学出版社,2006 王福耻主编 《材料科学基础》上海交大出版社胡赓祥 , 蔡珣 , 戎咏 921通信类专业综合 《电子电路基础》(第二版)高等教育出版社张凤言 《模拟电子技术基础》(第四版)高等教育出版社华成英、童诗白 《电磁场与电磁波》(二——四、六、七、十、十一章)高等教育出版社(2008)苏东林《电磁场理论学习辅导与典型题解》电子工业出版社(200509)苏东林等

《信号与系统》高等教育出版社(2011年1月第一版)熊庆旭、刘锋、常青 933控制工程综合 《自动控制原理》高等教育出版社程鹏主编 《数字电子技术基础》(2007年二月第一版)北京航空航天大学出版社胡晓光主编或《数字电子技术基础》(2001第四版)高等教育出版社阎石主编 942机械设计综合 面向21世纪课程教材《材料力学》Ⅰ、Ⅱ高等教育出版社单辉祖编 《机械设计基础》下册(2007年第二版)北京航空航天大学出版社吴瑞祥主编 951力学基础 《理论力学》高等教育出版社 (2009-12出版)。谢传锋、王琪、程耀等 《静力学》高等教育出版社谢传锋 《动力学》高等教育出版社谢传锋 《材料力学I》高等教育出版社出版(第三版)单辉祖 《材料力学II》高等教育出版社出版(第三版)单辉祖 961计算机基础综合 《数据结构教程》(第二版,第三次印刷〕北航出版社唐发根著 图像工程(上册)图像处理(第2版)清华大学出版社张毓晋编著 数据库系统概论(第四版)高等教育出版社王珊萨师煊著 971机械工程专业综合 ?动力学?高等教育出版社谢传锋 ?机械原理?科学出版社2010年出版郭卫东 《机械原理教学辅导与习题解答》科学出版社2010年出版郭卫东 ?机械设计基础下册?(25-34章)北京航空航天大学出版社吴瑞祥等 《机械设计》北京航空航天大学出版社王之栎、马纲、陈心颐编 ?自动控制原理?第四版1-6章科学出版社出版胡寿松(或?自动控制原理?1-6章)(中央广播电视大学出版社出版)(孙虎章) 五、复习指导 1、参考书的阅读方法

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

电力电子实验报告

电力电子实验报告

————————————————————————————————作者:————————————————————————————————日期:

实验一SCR(单向和双向)特性与触发实验 一、实验目的 1、了解晶闸管的基本特性。 2、熟悉晶闸管的触发与吸收电路。 二、实验内容 1、晶闸管的导通与关断条件的验证。 2、晶闸管的触发与吸收电路。 三、实验设备与仪器 1、典型器件及驱动挂箱(DSE01)—DE01单元 2、触发电路挂箱Ⅰ(DST01)—DT02单元 3、触发电路挂箱Ⅰ(DST01)—DT03单元(也可用DG01取代) 4、电源及负载挂箱Ⅰ(DSP01)或“电力电子变换技术挂箱Ⅱa(DSE03)”—DP01单元 5、逆变变压器配件挂箱(DSM08)—电阻负载单元 6、慢扫描双踪示波器、数字万用表等测试仪器 四、实验电路的组成及实验操作 图1-1 晶闸管及其驱动电路

1、晶闸管的导通与关断条件的验证: 晶闸管电路面板布置见图1-1,实验单元提供了一个脉冲变压器作为脉冲隔离及功率驱动,脉冲变压器的二次侧有相同的两组输出,使用时可以任选其一;单元中还提供了一个单向晶闸管和一个双向晶闸管供实验时测试,此外还有一个阻容吸收电路,作为实验附件。打开系统总电源,将系统工作模式设置为“高级应用”。将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;将“DT03”单元的钮子开关“S1”拨向上,用导线连接模拟给定输出端子“K”和信号地与“DE01”单元的晶闸管T1的门极和阴极;取主电源“DSM00”单元的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”,交流主电源输出端“AC15V”和“O”分别接至整流桥输入端“AC1”和“AC2”,整流桥输出接滤波电容(“DC+”、“DC-”端分别接“C1”、“C2”端);“DP01”单元直流主电源输出正端“DC+”接“DSM08”单元R1的一端,R1的另一端接“DE01”单元单向可控硅T1的阳极,T1的阴极接“DP01”单元直流主电源输出负端“DC-”。闭合控制电路及挂箱上的电源开关,调节“DT03”单元的电位器“RP2”使“K”点输出电压为“0V”;闭合主电路,用示波器观测T1两端电压;调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况,记录使T1由截止变为开通的门极电压值,它正比于通入T1门极的电流I G;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测T1的端电压情况。断开主电路、挂箱电源、控制电路。将加在晶闸管和电阻上的主电源换成交流电源,即“AC15V”直接接“R1”一端,T1的阴极直接接“O”;依次闭合控制电路、挂箱电源、主电路。调节“DT03”单元的电位器“RP2”使“K”点电压升高,监测T1的端电压情况;T1导通后,反向改变“RP2”使“K”点电压缓慢变回“0V”,同时监测并记录T1的端电压情况。通过实验结果,参考教材相关章节的内容,分析晶闸管的导通与关断条件。实验完毕,依次断开主电路、挂箱电源、控制电路。 2、晶闸管的触发与吸收电路: 将主电源电压选择开关置于“3”位置,即将主电源相电压设定为220V;用导线连接“DT02”单元输出端子“OUT11”和“OUT12”与“DE01”单元的脉冲变压器输入端“IN1”和“IN2”;取主电源的一路输出“U”和输出中线“L01”连接到“DP01”单元的交流输入端子“U”和“L01”;“DP01”单元的同步信号输出端“A”和“B”连接到锯齿波移相触发电路的同步信号输入端“A”和“B”;将“DE01”的脉冲变压器输出“g1”和“k1”分别接至单向

北航 942 机械设计综合考试大纲(2011版)

942 机械设计综合考试大纲(2011版) 一、总体要求 1、《材料力学》要求对工程设计中有关构件的强度、刚度、稳定性等有明确 的认识,掌握材料力学的基本概念、基本定律及必要的基础理论知识,并具备综合运用材料力学知识解决和分析实际问题的能力。 2、《机械设计基础》要求考生掌握通用机械零部件工作能力设计和结构设计 的基本知识、基本理论与基本方法,具有运用基本知识、基本理论与基本方法解决实际问题的能力。 二、考试内容及范围 2.1 材料力学(70%,105分) 1、绪论:了解材料力学的任务与研究对象及基本假设,杆件变形的基本形式,掌握内力,截面法,应力,应变,弹性模量,泊松比的概念,掌握剪应力互等定理,胡克定律,剪切虎克定律。 2、轴向拉压应力与材料的力学性能:掌握拉压杆横截面与斜截面上的轴力与应力计算;掌握圣维南原理,掌握拉压杆的强度条件,材料在常温、静荷下的拉、压力学性能;了解应力集中的概念。 3、轴向拉压变形:掌握拉压杆的变形与叠加原理,桁架的节点位移;掌握拉压与剪切应变能概念;会求解简单拉压静不定问题;了解热应力和初应力概念。 4、扭转:掌握圆截面轴的扭转剪应力计算;掌握极惯性矩与抗扭截面模量,扭转强度条件,圆轴扭转变形,扭转刚度条件;会求解简单扭转静不定问题;了解非圆截面的扭转。 5、弯曲内力:掌握平面弯曲内力概念;能够计算较复杂受载下的内力,会利用载荷集度、剪力和弯矩间的微分关系画内力图。 6、弯曲应力:掌握弯曲正应力公式及其推导,弯矩和挠度曲线曲率半径的关系,抗弯截面模量,抗弯刚度。掌握梁的强度计算过程。了解弯曲剪应力、提高梁弯曲强度的一些措施。

7、弯曲变形:掌握挠度和转角的概念、计算梁的挠度和转角的积分法、叠加法。理解挠曲线的近似微分方程的推导过程,掌握梁的刚度条件,简单超静定梁的解法。 8、应力、应变状态分析: 理解平面应力状态下的应力、应变分析,掌握主应力和主平面的概念,掌握平面应力状态分析的解析法和图解法。掌握广义虎克定律;掌握E、G、 关系。 9、复杂应力状态下的强度问题:掌握强度理论概念;掌握常用的四个强度理论;了解强度理论的应用;掌握弯扭组合时的应力和强度计算弯扭拉(压)组合时的应力和强度计算。 10 、压杆的稳定性:理解弹性平衡稳定性的概念。掌握细长杆临界载荷的欧拉公式;掌握压杆稳定性校核;了解提高压杆稳定性的措施。 11、疲劳与断裂:掌握交变应力与疲劳破坏、应力比、S-N曲线、持久极限的概念,了解提高疲劳强度的主要措施。 12、应力分析的实验方法:了解常用实验应力分析方法(电测和光弹)的原理和方法。 2.2机械设计基础(机设30%,45分) 1.掌握轴的类型、失效形式及设计要求;了解轴的常用材料、结构设计应考虑的问题和提高轴强度的措施;掌握轴的受力分析方法并可利用相当弯矩法进行轴的强度计算以及刚度计算;能够根据各种具体应用场合进行轴的结构设计。 2.了解齿轮传动机构的特点、应用及类型;了解齿轮传动五种失效形式的特点、形成机理及预防或减轻损伤的措施;熟练掌握齿轮传动的受力分析;理解载荷系数的意义及影响因素;掌握直齿、斜齿圆柱齿轮传动的齿面接触疲劳强度计算和齿根弯曲疲劳强度计算的基本理论、公式中各参数和系数的意义及确定方法。 3.了解摩擦的种类及其性质;了解滑动轴承的类型和结构特点;熟练掌握非流体摩擦滑动轴承的设计方法。

电子电路实验报告

.东南大学电工电子实验中心 实验报告 课程名称:电子电路实践 第三、四次实验 实验名称:单级低频电压放大器 院(系):专业: 姓名:学号: 实验室:105 实验组别:无 同组人员:无 实验时间:2012年4月15日2012年4月22日评定成绩:审阅老师:

实验目的: 1、掌握单级放大电路的工程估算、安装和调试 2、了解三极管各项基本器件参数、工作点、偏置电路、输入阻抗、输出阻抗、增益、幅频 特性等的基本概念以及测量方法 3、掌握基本的模拟电路的故障检查和排除方法,深化示波器、稳压电源、交流电压表、 函数发生器的使用技能训练 三、预习思考 1、器件资料: 上网查询本实验所用的三极管9013的数据手册,画出三极管封装示意图,标出每个管 将其扁平的一面正对自己,管脚朝下,则从左至右三个管脚依次为e,b,c;封装图如下:

2、 偏置电路: 教材图1-3中偏置电路的名称是什么,简单解释是如何自动调节BJT (半导体三极管)的电流I C 以实现稳定直流工作点的作用的,如果R 1 、R 2取得过大能否再起到稳定直流工作点的作用,为什么? 答: 共发射极偏置电路。 利用12,R R 构成的分压器给三极管基极b 提供电位B U ,又1 BQ I I ,基极电位B U 可近 似地由下式求得:2 12 B C C R U V R R ≈ ?+ 当环境温度升高时,)(CQ EQ I I 增加,电阻E R 上的压降增大,由于基极电位B U 固定,加到发射结上的电压减小,BQ I 减小,从而使CQ I 减小,通过这样的自动调节过程使CQ I 恒定,即实现了稳定直流工作点的作用。 如果12,R R 取得过大,则1I 减小,不能满足12,R R 支路中的电流1 BQ I I 的条件,此时, BQ V 在温度变化时无法保持不变,也就不能起到稳定直流工作点的作用。 3、 电压增益: (I) 对于一个低频放大器,一般希望电压增益足够大,根据您所学的理论知识,分析有 哪些方法可以提高电压增益,分析这些方法各自优缺点,总结出最佳实现方案。 答: 0()() 26(1) C L C L u i be b CQ u R R R R A mV u r r I βββ= =-=- ++ 所以提高电压增益的方法有: 1)增大集电极电阻R C 和负载R L 。缺点:R C 太大,受V CC 的限制,会使电路不能正常工作。 2)Q 点适当选高,即增大I CQ 。缺点:电路耗电大、噪声大 3)选用多级放大电路级联形式来获取足够大的电压增益。缺点:电路较复杂,输出信

电力电子实验报告

实验题目:MPD-15实验设备《电力电子技术》班级:自动化1405 姓名:KZY 学号:0901140450X 指导老师:XXX

实验一、三相脉冲移相触发电路 1.实验目的:熟悉了解集成触发电路的工作原理、双脉冲形成过程及掌握集成触发电路的 应用。 2.实验内容:集成触发电路的调试及各点波形的观察与分析。 3.实验设备:YB4320A型双线示波器一台;万用表一块;MPD-15实验设备中“模拟量可逆 调速系统”控制大板中的“脉冲触发单元”。 4.实验接线:见图1 图1 该实验接好三根线:即SZ与SZ1,GZ与GND,U GD与U CT连接好就行了。 5.实验步骤: (1)将实验台左下方的三相电源总开关QF1合上;(其它开关和按钮不要动) (2)将模拟挂箱上左边的电源开关拨至“通”位置,此时控制箱便接入了工作电源和三相交流同步电源U sa U sb U sc (注:U sa U sb U sc 与主回路电压:U A16 U B16 U C16相位一致)。 (3)将模拟挂箱上正组脉冲开关拨至“通”位置,此时正组脉冲便接至了正组晶闸管。 (4)用示波器观察U sa U sb U sc孔的相序是否正确,相位是否依次相差120°(注:用示波器的公共端接GND孔,其它两信号探头分别依次检查三个同步信号)。 (5)触发器锯齿波斜率的整定 (6)触发器相位特性整定:

实验二三相桥式整流电路的研究 一、实验目的 1、熟悉三相桥式整流电路的组成、研究及其工作原理。 2、研究该电路在不同负载(R、R+L、R+L+VDR)下的工作情况,波形及其特性。 3、掌握晶体管整流电路的试验方法。 二、实验设备 1、YB4320A型双线示波器一台 2、万用表一块 3、模拟量挂箱一个 4、MPD-08试验台主回路 三、实验接线 1、先断开三相电源总开关QF1; 2、触发器单元接线维持实验一线路不变; 3、主回路接线按图5进行。 A N0 图5 三相桥式整流电路(虚线部分用导线接好) 四、实验步骤(注意:根据表1中 所对应的Uct数据来调节Uct大小)

北航轴的结构设计改错——机械设计基础

机械设计基础 ——轴的结构设计改错一、指出图中结构不合理之处,并改正。 1、 答案: 1)左端轴承处的弹性挡圈去掉。 2)右端轴承处轴肩过高,应改为低于轴承内圈。 3)齿轮右端用轴套固定,与齿轮配合的轴头长度应小短于齿轮轮毂宽度。 4)左端轴承处应有越程槽。 5)联轴器没固定,左端应改为轴肩固定。 6)右端轴承改为轴套定位。 7)与齿轮配合处的键槽过长,应短于其轮毂宽度。 8)齿轮应改为腹板式结构。 9) 将联轴器的周向固定,改为键联接。 2、

主要结构错误: 1)与齿轮处键槽的位置不在同一母线上;2)端盖孔与轴径间无间隙; 3)左轴承端盖与箱体间无调整密封垫片;4)轴套超过轴承内圈定位高度; 5)三面接触,齿轮左侧轴向定位不可靠;6)键顶部与齿轮接触; 7)无挡油盘; 8)两轴承端盖的端面处应减少加工面。3、

1)轴承内外圈剖面线方向不一致,应改为方向一致; 2)左端轴承用轴肩定位,且轴肩不高于轴承内圈; 3)齿轮没有轴向固定,改为左端用轴环,右端用轴套固定;4)与左端轴承配合的轴段上应有砂轮越程槽; 5)联轴器没有轴向定位,应必为用轴肩定位; 6)右端轴承改为加大定位和固定,且低于轴承内圈; 7)与齿轮配合的轴段应有键槽; 8)齿轮改为腹板式结构性 9)轴的右端键槽过长,改为短于联轴器的孔的长度。 4、 答案: 1)左边轴肩高于轴承内圈; 2)与齿轮配轴段太长,齿轮轴向未定位; 3)齿轮与轴承间缺套筒; 4)右边轴肩过高超过轴承内圈; 5)右端盖与轴接触; 6)右端盖处缺少密封圈; 7)皮带轮周向定位缺键槽;; 8)皮带轮孔未通。

答案: 此轴系有以下6个方面13处错误: 1)轴承类型配用不合适: 左轴承为角接触轴承,角接触轴承不能单个使用; 2)转动件与静止件直接接触: 轴身与右端盖之间无间隙; 3)轴上零件未定位、未固定: 套筒未可靠的固定住齿轮; 联轴器轴向未固定; 联轴器周向未固定; 4)工艺不合理: 轴外伸端无轴肩,轴承不易装; 装轴承盖箱体的加工面与非加工面没有分开; 轴承与轴承座之间无调整垫片,轴承的轴向间隙无法调整; 轴上的键槽过长; 左轴承处轴肩过高,轴承无法拆卸; 5)润滑与密封问题: 轴承脂润滑而无挡油环; 端盖上无密封件; 6)制图投影错误 箱体孔投影线未画

电子电路综合实验报告

电子电路综合实验报 课题名称:简易晶体管图示仪 专业:通信工程 班级: 学号: 姓名: 班内序号:

一、课题名称: 简易晶体管图示仪 二、摘要和关键词: 本报告主要介绍简易晶体管的设计实现方法,以及实验中会出现的问题及解决方法。给出了其中给出了各个分块电路的电路图和设计说明,功能说明,还有总电路的框图,电路图,给出实验中示波器上的波形和其他一些重要的数据。在最后提到了在实际操作过程中遇到的困难和解决方法,还有本次实验的结论与总结。 方波、锯齿波、阶梯波、特征曲线。 三、设计任务要求: 1. 基本要求:⑴设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数N=6; ⑵设计一个三角波发生器,三角波Vopp≥2V; ⑶设计保护电路,实现对三极管输出特性的测试。 2. 提高要求:⑴可以识别NPN,PNP管,并正确测试不同性质三极管; ⑵设计阶数可调的阶梯波发生器。 四、设计思路: 本试验要求用示波器稳定显示晶体管输入输出特性曲线。我的设计思路是先用NE555时基振荡器产生的方波和带直流的锯齿波。然后将产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿的个数,实验中利用它的三位输出为多路开关CD4051提供地址。CD4051是一个数据选择器,根据16进制计数器74LS169给出的地址进行选择性的输出,来输出阶梯波,接入基极。由双运放LF353对NE555产生的锯齿波进行处理,产生符合要求的锯齿波作为集电极输入到三极管集电极。最后扫描得到NPN的输出特性曲线。总体结构框图:

五、分块电路和总体电路的设计: ⑴用NE555产生方波及锯齿波,电路连接如下。 图2.方波产生电路 NE555的3口产生方波,2口产生锯齿波,方波振荡器周期T=3 R1+R2 C1,占空比D= R1+R2 /(R1+2R2),为使阶梯波频率足够大,选C1=0.01uF,同时要产生锯齿波,方波的占空比应尽量大,当R1远大于R2时,占空比接近1,选R1为20kΩ,R2为100Ω。 ⑵阶梯波电路: 用NE555时基振荡器产生的方波作为16进制计数器74LS169的时钟信号,74LS169是模16的同步二进制计数器,可以通过四位二进制输出来计时钟沿得个数,实验中利用它的三位输出为多路开关CD4051的输入Qa、Qb、Qc提供地址。直流通路是由5个100Ω的电阻组成的电阻分压网络以产生6个不同的电压值,根据16进制计数器74LS169给出的地址进行选择性的输出,而它的管脚按照一定的顺序接入5个等值电阻然后在第一个电阻接入5V 的电压,原本是管脚接7个电阻可以产生8阶阶梯波,将三个管脚短接,即可产生6阶,这里选择了4,2,5接地,使输出为6阶阶梯波,以满足基本要求中的阶梯波幅度大于3V的要求。另一路信号通道的输入则接被显示的信号;通过地址信号Qa、Qb、Qc对两回路信号同步进行选通。这样,用示波器观察便可得到有6阶的阶梯波。 仿真时在Multisim上没有现成元件CD4051,这里选择了与它功能相近的8通道模拟多路复用器ADG528F代替。它是根据A1、A2、A3口的输入来选择输出S1-S8中各路电压值。

实验报告-电力电子仿真实验

电力电子仿真实验 实验报告 院系:电气与电子工程学院 班级:电气1309班 学号: 1131540517 学生姓名:王睿哲 指导教师:姚蜀军 成绩: 日期:2017年 1月2日

目录 实验一晶闸管仿真实验 (3) 实验二三相桥式全控整流电路仿真实验 (6) 实验三电压型三相SPWM逆变器电路仿真实验 (18) 实验四单相交-直-交变频电路仿真实验 (25) 实验五VSC轻型直流输电系统仿真实验 (33)

实验一晶闸管仿真实验 实验目的 掌握晶闸管仿真模型模块各参数的含义。 理解晶闸管的特性。 实验设备:MATLAB/Simulink/PSB 实验原理 晶闸管测试电路如图1-1所示。u2为电源电压,ud为负载电压,id为负载电流,uVT 为晶闸管阳极与阴极间电压。 图1-1 晶闸管测试电路 实验内容 启动Matlab,建立如图1-2所示的晶闸管测试电路结构模型图。

图1-2 带电阻性负载的晶闸管仿真测试模型 双击各模块,在出现的对话框内设置相应的模型参数,如图1-3、1-4、1-5所示。 图1-3 交流电压源模块参数

图1-4 晶闸管模块参数 图1-5 脉冲发生器模块参数 固定时间间隔脉冲发生器的振幅设置为5V,周期与电源电压一致,为0.02s(即频率为50Hz),脉冲宽度为2(即7.2o),初始相位(即控制角)设置为0.0025s(即45o)。 串联RLC分支模块Series RLC Branch与并联RLC分支模块Parallel RLC Branch的参数设置方法如表1-1所示。 元件串联RLC分支并联RLC分支 类别电阻数值电感数值电容数值电阻数值电感数值电容数值单个电阻R0inf R inf0 单个电感0L inf inf L0 单个电容00C inf inf C

《电力电子技术》实验报告-1

河南安阳职业技术学院机电工程系电子实验实训室(2011.9编制) 目录 实验报告一晶闸管的控制特性及作为开关的应用 (1) 实验报告二单结晶体管触发电路 (3) 实验报告三晶闸管单相半控桥式整流电路的调试与分析(电阻负载) (6) 实验报告四晶闸管单相半控桥式整流电路的研究(感性、反电势负载) (8) 实验报告五直流-直流集成电压变换电路的应用与调试 (10)

实验报告一晶闸管的控制特性及作为开关的应用 一、实训目的 1.掌握晶闸管半控型的控制特点。 2.学会晶闸管作为固体开关在路灯自动控制中的应用。 二、晶闸管工作原理和实训电路 1.晶闸管工作原理 晶闸管的控制特性是:在晶闸管的阳极和阴极之间加上一个正向电压(阳极为高电位);在门极与阴极之间再加上一定的电压(称为触发电压),通以一定的电流(称为门极触发电流,这通常由触发电路发给一个触发脉冲来实现),则阳极与阴极间在电压的作用下便会导通。当晶闸管导通后,即使触发脉冲消失,晶闸管仍将继续导通而不会自行关断,只能靠加在阳极和阴极间的电压接近于零,通过的电流小到一定的数值(称为维持电流)以下,晶闸管才会关断,因此晶闸管是一种半控型电力电子元件。 2.晶闸管控制特性测试的实训电路 图1.1晶闸管控制特性测试电路 3.晶闸管作为固体开关在路灯自动控制电路中的应用电路 图1.2路灯自动控制电路 三、实训设备(略,看实验指导书)

四、实训内容与实训步骤(略,看实验指导书) 五、实训报告要求 1.根据对图1.1所示电路测试的结果,写出晶闸管的控制特点。记录BT151晶闸管导通所需的触发电压U G、触发电流I G及导通时的管压降U AK。 2.简述路灯自动控制电路的工作原理。

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

电力电子实验报告

南昌大学实验报告 学生姓名:学号:专业班级: 实验类型:■验证□综合□设计□创新实验日期:实验成绩:一、实验项目名称:锯齿波同步移相触发电路实验

接于“7”端。注:如您选购的产品为MCL—Ⅲ、Ⅴ,无三相调压器,直接合上主电源。以下均同同时观察“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形及输出电压U G1K1的波形,调整电位器RP1,使“3”的锯齿波刚出现平顶,记下各波形的幅值与宽度,比较“3”孔电压U3与U5的对应关系。 3.调节脉冲移相范围 将MCL—18的“G”输出电压调至0V,即将控制电压Uct调至零,用示波器观察U2电压(即“2”孔)及U5的波形,调节偏移电压Ub(即调RP),使α=180O,其波形如图4-4所示。 调节MCL—18的给定电位器RP1,增加Uct,观察脉冲的移动情况,要求Uct=0时,α=180O,Uct=Umax时,α=30O,以满足移相范围α=30O~180O的要求。 4.调节Uct,使α=60O,观察并记录U1~U5及输出脉冲电压U G1K1,U G2K2的波形,并标出其幅值与宽度。 用导线连接“K1”和“K3”端,用双踪示波器观察U G1K1和U G3K3的波形,调节电位器RP3,使U G1K1和U G3K3间隔1800。 七、实验报告 1、观察波形 ⑴、“1”、“2”孔波形

⑵、“3孔波形” ⑶、“4”孔波形

⑸、U G1K1波形

2、调节脉冲移相范围 ⑴U2、U5波形

⑵、U G1K1、U G2K2波形 ⑶、U G1K1、U G3K3波形

北航机械设计课程设计设计计算说明书

机械设计课程设计 计算说明书 设计题目带式运输机传动装置设计I ****学院(系)****班 设计者 sc 指导老师 *** 2017年5月12日 (北京航空航天大学)

前言 本设计为机械设计基础课程设计的内容,是先后学习过画法几何、机械原理、机械设计、工程材料、加工工艺学等课程之后的一次综合的练习和应用。本设计说明书是对带式运输机传动装置设计I的说明,该传动装置使用广泛,本次设计是使用已知的使用和安装参数自行设计机构具体尺寸、选择材料、校核强度,并最终确定形成图纸的过程。通过设计,我们回顾了之前关于机械设计的课程,并加深了对很多概念的理解,并对设计的一些基本思路和方法有了初步的了解和掌握。

目录 前言 ............................................... 错误!未定义书签。机械零件课程设计任务书 ............................. 错误!未定义书签。 一、题目:带式运输机传动装置设计................ 错误!未定义书签。 二、设计任务.................................... 错误!未定义书签。 三、具体作业.................................... 错误!未定义书签。主要零部件的设计计算 ............................... 错误!未定义书签。 一、传动方案的确定.............................. 错误!未定义书签。 二、电动机的选择、传动系统的运动和动力参数...... 错误!未定义书签。 1.电动机的选择............................. 错误!未定义书签。 2.传动比分配............................... 错误!未定义书签。 3.各级传动的动力参数计算................... 错误!未定义书签。 4.将运动和动力参数计算结果进行整理并列于下表错误!未定义书签。 三、传动零件的设计、计算........................ 错误!未定义书签。 带传动的设计................................ 错误!未定义书签。 2.带的参数尺寸列表......................... 错误!未定义书签。 3.减速器齿轮(闭式、斜齿圆柱齿轮)设计..... 错误!未定义书签。 四、轴的设计与校核.............................. 错误!未定义书签。 1.I轴的初步设计........................... 错误!未定义书签。 2.I轴强度校核............................. 错误!未定义书签。 3.II轴的初步设计.......................... 错误!未定义书签。 4.II轴强度校核............................ 错误!未定义书签。 五、键联接的选择与校核.......................... 错误!未定义书签。 1.I轴外伸端处键联接....................... 错误!未定义书签。 2.II轴与大齿轮配合处键联接................ 错误!未定义书签。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

相关主题
文本预览
相关文档 最新文档