当前位置:文档之家› 多功能数字钟设计与制作-毕业设计

多功能数字钟设计与制作-毕业设计

多功能数字钟设计与制作-毕业设计
多功能数字钟设计与制作-毕业设计

多功能数字钟设计与制作

学生:XX 指导教师:XX

内容摘要:多功能数字钟是在具有基本钟表功能的基础上又增加了定时控制、仿广播电台报时功能、自动报整点时数、触摸报整点时数。这样的数字钟以其超越的功能越来越被更多的人们所接受。在设计数字钟时可以采用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等,经过多方面比较后采用多单元电路组成。这样虽然操作时有很大困难但设计相对清晰易懂,一目了然。PCB 制作还必须在所有设计和制作结束后,首先经过检测没有问题才能焊接器件。并进行进一步的检测。保证电路不能在焊接地方出现短接、漏接现象。错综复杂的接线来回穿梭在电路板上,需要制作者用有超强的细心耐性,和更灵活的办法。确保质量!在遇到困难时有一个平静的心理,和良好的素质。在保证了数字钟在正长运行下,其次一个美观的外表更能让更多人喜欢。本这个原则开始我们的探索之路。

关键词:译码器计数器校时电路

Multifunction digital clock design

Abstract:The multi-function digital clock timing control basic watch functions on the basis of, imitation Radio repeater function automatically reported to the whole number of touch reported hours. Digital clock beyond its function is increasingly being accepted by more people. Small and medium-scale integrated circuits can be used in the design of the digital clock electronic clock; can also use a dedicated electronic clock chip together with the display circuit and peripheral circuit electronic clock; can also use the microcontroller to implement the electronic clock, after many aspects of multi-unit comparison circuit. Although the operation very difficult, but the design is relatively clear and easy to understand at a glance.PCB production must also be the end of all design and production, first tested there is no problem to welding device. And further testing. Ensure that the circuit can not be shorted in the welding places bobble phenomenon. The intricate wiring back and forth on the circuit board, require producers to use super careful patience, and a more flexible approach. To ensure quality! In a calm mental difficulties, and good quality. To ensure the digital clock in the positive long run, followed by a beautiful appearance but also allows more people like. With this principle is the beginning of our path of exploration.

Keywords:Decoder Counter Timing circuit

.

目录

前言 (1)

1 电路设计 (1)

1.1 设计方案 (1)

1.2 单元电路的设计 (2)

1.2.1 主体电路部分 (2)

1.2.2 扩展功能电路的设计 (8)

2 调试 (11)

2.1 主体电路部分 (11)

2.1.1 振荡电路部分 (11)

2.1.2 计数电路部分 (12)

2.1.3 校时电路部分 (13)

2.2 扩展电路部分 (13)

2.2.1 定时控制 (13)

2.2.2 仿广播电台正点报时 (13)

2.2.3 自动报整点时数 (13)

2.2.4 触摸报整点时数 (14)

3 结束语 (15)

参考文献 (16)

多功能数字钟设计与制作

前言

数字钟被广泛用于个人家庭,车站,码头、办公室等公共场所,成为人们日常生活中的必需品。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度,运用超过老式钟表,钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。我们希望钟表业的精英们在提高制造技术水平中不断创新,培育出拥有自主知识产权的品牌。这正是中国钟表业发展的希望。

1 电路设计

1.1 设计方案

根据设计要求首先建立了一个多功能数字钟电路系统的组成框图,框图如图1.1-1所示。

图1.1-1 数字钟电路系统的组成框图

由图1.1-1可知,电路的工作原理是:多功能数字钟电路由主体电路和扩展电路两大部分组成。其中主体电路完成数字钟的基本功能,扩展电路完成数字钟的扩展功能。振荡器产生的高脉冲信号作为数字钟的振源,再经分频器输出标准秒脉冲。秒计数器计满60后向分计数器个位进位,分计数器计满60后向小时计数器个位进位并且小时计数器按照“12翻1”的规律计数。计数器的输出经译码器送显示器。计时出现误差时电路进行校时、校分、校秒。扩展电路必须在主体电路正常运行的情况下才能进行扩展功能。

1.2 单元电路的设计

数字电子钟的设计方法很多种,例如,可用中小规模集成电路组成电子钟;也可以利用专用的电子钟芯片配以显示电路及其所需要的外围电路组成电子钟;还可以利用单片机来实现电子钟等。在本次设计,电路是由许多单元电路组成的,因此首先必须对各个单元电路进行设计。

1.2.1 主体电路部分

主体电路部分的电路主要由振荡电路、计数电路、显示电路以及校时电路四大部分组成。下面将对各部分电路进行设计。

1.2.1.1 振荡电路

振荡电路由振荡器和分频器产生 1Hz时钟脉冲和扩展部分所需的频率,下面对振荡器和分频器两部分进行介绍。

数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,一般来说,振荡器的频率越高,计时精度越高。它利用某种反馈方式产生时钟信号。对数字电路来说,振荡器的输出的幅度范围为0v—5v的方波信号而不是锯齿波、三角波或其他形式。典型的振荡器是弛豫振荡器,它通过一个RC网络将反相器的输出反馈回来并存在一定的工作延迟时间。基本的电路如图1.2.1.1-1所示。

图1.2.1.1-1 振荡器图

在上述电路中,RI-C网络由第一个反相器驱动,具有RC特性曲线的响应信号被反馈给反相器的输入。当电容上的电压达到施密特触发器输入反相器的门限电压的时候,反相器的状态发生改变,并输出一个新的电压值。这个输出电压经过一定的延迟时间再次通过RI—C反馈回来,直到电容电压再次达到门限电压为止。

用施密特触发器输入器件(如74HC04),但是由于电容的参考电压在每个临界点都要发生变化,所以施密特触发器不是必需的。由于电容与输出相连,每次状态改变时,电容的充电电压会超过5V。从这一点来说,输出电压会改变电容的充电电压,直到电容两端的电压变为74HC04的门限电压(2.5V)为止。振荡器输出状态的改变发生在电容上的电压达到2.5V时。

如果想要获得高的精度,就应该在振荡电路中使用石英晶体作振源。在数字钟的设计与制作中应采用石英晶体振荡器,因为石英晶体具有压电效应,是一个压电器件。当交流电压加在晶体两端,晶体先随电压变化产生对应的变化,然后机械振动又使晶体表面产生交变电荷。当晶体几何尺寸和结构一定时,它本生有一个固定的机械频率。当外加交流电压的频率等于晶体的固有频率时,晶体片的机械振动最大,晶体表面电荷量最多,外电路的交流电流最强,于是产生振荡,因此将石英晶体按一定方位切割成片,两边傅以电极,焊上引线,再用金属或玻璃外壳封装即构成石英晶体。石英晶体的固有频率十分稳定。另外石英晶体的振动具有多谐性,除了基频振动外,还有奇次谐次泛音振动,对于石英晶体,既可利用基频振动,也可利用泛音振动。前者称为基频晶体,后者称为泛音晶体,晶片厚度与振动频率成反比,工作频率越高,要求晶片厚度越薄。将石英晶体作为高Q值谐振回路元件接入反馈电路中,就组成了晶体振荡器。在设计中所用的振荡器的电路图如图1.2.1.1-2所示。该电路能产生1MHz的方波脉冲振荡信号。

图1.2.1.1-2 晶体振荡器图

分频器的作用是将由石英晶体产生的高频信号分频成基时钟脉冲信号和扩展部分所需的频率。在此电路中,分频器的功能主要有两个:一是产生标准脉冲信号;二是功能扩展电路所需的信号,如仿电台用的1KHz 的高频信号和500Hz 的低频信号等.在此电路中作为分频器的元件是:CD4518。

CD4518可以组成二分频电路和十分频电路。用CD4518组成二分频的电路如图1.2.1.1-3;用CD4518组成十分频的电路如图1.2.1.1-4;在本次设计中所用的分频器的电路图如图1.2.1.1-5。电路经过十分频后将晶振来的1MHz 的振荡脉冲变为1Hz 的脉冲信号,该信号作为计数器的计数脉冲使用。

图1.2.1.1-3 二分频电路图

1.2.1.1-4 十分频电路图

图1.2.1.1-5 分频器电路图

振荡器和分频器两部分构成振荡电路,它的电路图如图1.2.1.1-6所示。根据图1.2.1.1-6可知电路的工作原理是:石英晶体振荡器提供的频率为1MHz,CD4518组成十分频电路。并且一个 CD4518可以组成两个十分频电路即:CD4518的引脚2与引脚6组成一个十分频电路而引脚10与引脚14组成另一个十分频电路。晶振的输出接入第一块CD4518的输入引脚2,经过一次十分频,频率变为100KHz。输出引脚6接入同一块CD4518的引脚10经第二次分频,频率变为10KHz。输出引脚接人第二块CD4518的输入引脚2再经一次分频,频率变为1KHz。这样经过六次分频最后可以得到1Hz的频率。

图1.2.1.1-6 振荡电路图

1.2.1.2 计数电路

计数器是一种计算输入脉冲的时序逻辑网络,被计数的输入信号就是时序网络的时钟脉冲,它不仅可以计数而且还可以用来完成其他特定的逻辑功能,如测量、定时控制、数字运算等等。

数字钟的计数电路是用两个六十进制计数电路和“12翻1”计数电路实现的。数字钟的计数电路的设计可以用反馈清零法。当计数器正常计数时,反馈门不起作用,只有当进位脉冲到来时,反馈信号将计数电路清零,实现相应模的循环计数。以六十进制为例,当计数器从00,01,02,……,59计数时,反馈门不起

作用,只有当第60个秒脉冲到来时,反馈信号随即将计数电路清零,实现模为60的循环计数。下面将分别介绍60进制计数器和“12翻1”小时计数器。

图1.2.1.2-1 60进制计数器

电路中,74LS92作为十位计数器,在电路中采用六进制计数;74LS90作为个位计数器在电路中采用十进制计数。当74LS90的14脚接振荡电路的输出脉冲1Hz 时74LS90开始工作,它计时到10时向十位计数器74LS92进位。下面对电路中所用的主要元件及功能介绍。

图1.2.1.2-2 “12翻1”小时计数器电路

R 0(1)

0_5

D

4LS 04

“12翻1”小时计数器是按照“01—02—03—04—05—06—07—08—09—10—11—12—01”规律计数的,计数器的计数状态转换表如表1.2-1所示。

表1.2.1.2-1 “12翻1”小时计时时序

1.2.1.3 校时电路

图1.2.1.2-3

校时电路

校时电路的作用是:当数字钟接通电源或者出现误差时,校正时间。校时是

1

数字钟应具有的基本功能。一般电子表都具有时、分、秒等校时功能。为了使电路简单,在此设计中只进行分和小时的校时。校时有“快校时”和“慢校时”两种,“快校时”是通过开关控制,使计数器对1Hz校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。图中S1校分用的控制开关,S2为校秒用的控制开关,它们的控制功能如表4所示,校时脉冲采用分频器输出的1Hz脉冲,当S1或S2分别为“0”时可以进行“快校时”。如果校时脉冲由单次脉冲产生器提供,则可以进行“慢校时”。

1.2.1.4 译码与显示电路

译码是编码的相反过程,译码器是将输入的二进制代码翻译成相应的输出信号以表示编码时所赋予原意的电路。常用的集成译码器有二进制译码器、二—十制译码器和BCD—7段译码器、显示模块用来显示计时模块输出的结果。

74LS48DPY_7-SEG

图1.2.1.4-1 译码与显示电路

1.2.2 扩展功能电路的设计

1.2.2.1 定时控制电路

数字钟在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。不管是闹时还是控制,都要求时间准确,即信号的开始时刻与持续时间必须满足规定的要求。

在这里将举例来说明它的工作原理。要求上午7时59分发出闹时信号,持续1分钟。设计如下:

7时59分对应数字钟的时时个位计数器的状态为()011110123=H Q Q Q Q ,分十位计数器的状态为()010120123=M Q Q Q Q ,分个位计数器的状态为

()100110123=M Q Q Q Q ,若将上述计数器输出为“1”的所有输出端经过与门电路

去控制音响电路,就可以使音响电路正好在7点59分响,持续1分钟后(即8点)停响。

图1.2.2.1-1 定时控制电路

由电路图可以看见,上午7点59分,音响电路的晶体管导通,则扬声器发出1KHz 的声音。持续1分钟到8点整晶体管因为输入端为“0”而截止,电路停闹。

1.2.2.2 仿广播电台正点报时电路

仿广播电台正点报时的功能要求是:每当数字钟计时快要到正点时,通常按照4低音1高音的顺序发出间断声响,以最后一声高音结束的时刻为正点时刻。

电路图的工作原理举例来说明;例如设4声低音(约500Hz )分别 在59分51秒、53秒、55秒及57秒,最后一声高音(约1000Hz )发生在59秒,它们的持续时间为1秒。只有当分十进位的112022=M M Q Q ,分个位的111013=M M Q Q ,

秒十位的112022=S S Q Q 及秒个位的110=S Q 时,音响电路才能工作。

图1.2.2.2-1 仿广播电台正点报时电路

1.2.2.3 触摸报整点时数电路

设计本功能基于在有些场合(如夜间),不便于直接看显示时间,希望数字钟有触摸报整点时数的功能.即触摸数字钟的某端,就能报时。

在功能三的基础上,增加一触发脉冲控制电路,或者将功能三的电路的自动报时改为触摸报时电路即可.产生触摸脉冲的电路有单次脉冲产生器,555集成电路定时器,单稳态触发器等 .我采用的是555集成电路产生的触摸脉冲.触摸控制电路如图23SZ2为一金属片,它还要和74LS74的RD (1)端连接,当用手触摸金属片时,即加入一负脉冲,其有两个作用:一、经过555产生一正脉冲;二、使D 触发器输出为0,从而使小时计数器的输出的整数点置入74LS191。

1

2

3

UZ11A

74LS00

8

9

10

UZ8C 74LS004

5

6

UZ8B

74LS00

1

2

3

UZ8A

74LS00

1245

6

UZ2A

74LS20

1312109

8

UZ2B

74LS20

RZ41K

RZ3

22

LS1

SPEAKER

8

9

UZ6D

74LS04

1

2

UZ5A

74LS04

3

4

UZ5B 74LS04

8

9

UZ5D

74LS04Q 3

M 2

M 1Q13DG130

+5V

SZ4

SW

M 2 Q 0M 2 Q 2M 1 Q 0M 1 Q 3

1K H Z

S 1 Q 3

500H Z

S 1 Q 0

S 2 Q 2S 2 Q 0

图1.2.2.3-1 触摸报整点时数电路

2 调试

在本设计中,为了设计的顺利进行,我在实验箱上进行了部分调试,因为电路太复杂,在实验箱上不可能整体电路进行调试。调试后,我就自己焊接了一个试验板进行调试。以确保最后能很好的完成其各部分功能。调试后,我就画PCB 图,用来制印制板。因为PCB 图先画,后经过反复考虑振荡电路部分改进了,最后用的是1MHZ 的晶振经过三片CD4518六次分频就能得到1HZ 的频率。所以在印制板外加了一个振荡部分电路。 2.1 主体电路部分 2.1.1 振荡电路部分

我先用的是32768HZ 的晶振和反向器74LS00接两个电阻和两个电容组成的振荡电路,产生32768HZ 的方波信号,经过15级二分频后得到1HZ 的基准脉冲。扩展部分所需的频率可以从5级二分频得到1024HZ 六级二分频得到512HZ 但是

2

这样用的集成块较多,时间延迟较长。用555产生多谐振荡方波也可,就是精确度和稳定度不高。后来我就用的1MHZ的晶振产生1MHZ的频率经过74LS90组成的二-五-十的分频器,可很好的扩展部分所需的频率。只是要用六块74LS90,后来我查了手册,发现4518有两片十进制分频器,功能与74LS90又基本上相同,这样就可少用集称块,减少时间延时。

在现用电路调试中,晶振的输出频率为1MHz,用三片CD4518组成了六级十分频电路,在调试中我对每级分路进行了测试。在第一级分频后出现的脉冲信号为100KHz,经过第二级得到了10KHz的标准脉冲,这样一级级的分频,经过六次分频后得到了标准的1Hz脉冲信号。

振荡部分产生1MHZ的方波信号为:

图2.1.1-1 方波信号图

2.1.2 计数电路部分

小时计数部分电路较复杂,在第一次焊接完成后的调试显示中,发现小时的十位没有变化,经过分析、检查发现74LS74的3脚没有接上。

秒计数电路部分的调试中顺利得到了结果,秒计数器的个位能准确以十进制形式计数;秒计数器的十位也能准确以六进制的形式计数。当秒计数器的个位计数到9后自动向秒计数器的十位计数。

分计数电路部分的调试电路与秒计数器的电路一样,在调试中不同的是秒计数电路的个位计数器74LS90的14脚接入振荡电路部分的输出端,而分计数电路的个位计数器74LS90的14脚本该接校时电路,但是由于校时电路作为最后调试的电路,所以在调试中74LS90的14脚与单次脉冲连接。调试的结果是:这部分的结果与秒计数电路部分的结果一样。

2.1.3 校时电路部分

在整个电路的设计中,需要用到两个校时电路,两个校时电路的功能相同,它们不同的是在电路的设计时,校分电路比校时电路少一个反相器,这是因为74LS191为高电平有效而74LS90为低电平有效。

调试的结果是:当开关断开时,分计数电路,小时计数电路正常计数,当开关闭合时,校时电路进行校时。只是有时松开按键时,较时数会有点误变化,经过仔细分析,确定是由于在松按键时产生了抖动,如果接上R-S触发器就能够消抖。

2.2 扩展电路部分

扩展部分的调试是在主体部分正确的情况下,才能完成的。有些也可模拟调试。

2.2.1 定时控制

扩展部分的调试是在主体部分正确的情况下,才能完成的。单独在实验箱上可以调试其电路的输入就用模拟开关输入高低电平。只要在输入的变化下能够控制风鸣器工作就行。因为这部分的电路比较简单、原理也不难。所以这部分调试很快,一切很顺利。

2.2.2 仿广播电台正点报时

这部分也比较简单,只是有两个音频信号(1KHZ、500HZ)要发出高、底声音。其余的就是来自主体部分的控制信号,这也用模拟开关输入高低电平,能够使其音响电路发声,就没有问题。在调试时这部分也比较顺利。

2.2.3 自动报整点时数

这部分电路就较复杂了,用模拟开关的电平输入来代替小时计数器的输入,用一单次正脉冲来代替分的十位进位的反相脉冲。第一次调试时,音响电路没有发是声,经过仔细检查,发现74LS74的电源和地之间被击穿,换了一个74LS74

后,音响电路发出了声。

2.2.4 触摸报整点时数

这部分电路是在自动报时的功能上,增加一触发脉冲控制电路,在这里用的是555集成电路组成的单稳态触发器,产生单稳态脉冲。其经过偶数4次反向器延时后,用其来代替分十的进位脉冲,而触发器的触发端接D触发器的RD端。第一次调试时,音响电路不受触摸脉冲的影响,它是一直都响,经过分析后,确定是触发器D没有输出控制信号。检查发现触发器的2(D)脚和6(/Q)脚没有连接好,接上后,音响就受触摸信号的控制了,说明其正常。

以上的调试都是单独在实验箱上进行的。为了整体能调试成功,我焊接了个整体电路来调试,基本上也没有什么问题。就是布线太困难了,并且很容易出错。我用的是排线,但是排线容易断。这给完成整体焊接电路带来了很多困难,先焊上的线,很可能在稍后就被折断了,所以布线也不是很规范了。

图2.2.4-1 焊接的调试电路正面图

有了以上在数字实验箱的调试,在焊接电路的调试就很容易了,主体电路的功能接上电源后就能实现:能显示时、分、秒的时间;小时的计数为“12翻1”,分和秒的计时为60进位;能够校时、分。扩展部分:定时控制;仿广播电台正点报时;自动报正点时数,都能实现。但是触摸报正点时数不能很好的实现,一触摸它就不停止的响,不是在报正点时数。后经过是D触发器没有输出,用万用表测试D触发器的5脚一直都为高电平,后发现D的1脚的触发开关与地之间没

有接上,接上后调试,能实现。

原设计的振源用的是32768HZ的晶振,它要得到基准脉冲要经过15级二分频,才能得到1HZ的脉冲。而我后采用1MHZ的晶振只需用CD4518经过六次十分频就能得到1HZ的基准脉冲。这样所需的集成块还少些,带来的时间延时也就少些。所以最后我还在印制板的外面加一小板这样作为整个电路的振源。

最后在我制的印制板上调试,发现其没有反应。用万用表的二极管档测试,发现其电源没有接通,逐级测试,查出是VCC与+5V的电源没有接通。当接上时调试,一切都能够实现。下图为制的印制板图:

~220V

5V

图2.2.4-2 印制板图

本电源的纹波电流很小,为很小,为0.001V,有时甚至为0V。满足需要。能向总体电路提供电源。

3 总结

通过这次课程设计,我又掌握了一些元器件的用途以及它们的参数、性能。这次设计提高了我理论和实践相结合的能力,增加了把理论用于实践的兴趣,同时也提高了我分析问题和解决问题的能力。我以后会更加努力,用严谨的科学态度去面对一切。克服困难,战胜自我,超越自我。感谢在课程设计中帮助过我的指导老师XX老师和XX老师,以及在设计中被我引用或参考的论著的作者。

参考文献:

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

使用Quartus进行多功能数字钟设计

EDA设计 使用Quartus II进行多功能数字钟设计 院系:机械工程 专业:车辆工程 姓名:张小辉 学号: 指导老师:蒋立平、花汉兵 时间: 2016年5月25日

摘要 本实验是电类综合实验课程作业,需要使用到QuartusⅡ软件,(Quartus II 是Altera公司的综合性PLD/FPGA开发软件,原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程)。本实验需要完成一个数字钟的设计,进行试验设计和仿真调试,实验目标是实现计时、校时、校分、清零、保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。 关键字:电类综合实验 QuartusⅡ数字钟设计仿真

Abstract This experiment is electric comprehensive experimental course work and need to use the Quartus II software, Quartus II is Altera integrated PLD / FPGA development software, schematic and VHDL, Verilog HDL and AHDL (Altera hardware description language support) etc. a variety of design input form, embedded in its own synthesizer and simulator can complete hardware configuration complete PLD design process from design entry to). The need to complete the design of a digital clock, and debug the design of experiment and simulation, the experimental goal is to achieve timing, school, reset, keep and the whole point timekeeping and other basic functions, and then download to the smartsopc experimental system debugging and validation. Key words: Electric power integrated experiment Quartus II Digital clock design Simulation

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

多功能数字钟的VHDL设计

毕业设计论文 多功能数字钟的VHDL设计 系 xxxxxxxxxxxxxxxxx 专业 xxxxxxxxxxxxx 学号 xxxxxxxxxxx 姓名 xxxxxxx 班级 xxxxxxxxxxxx 指导老师 xxxxxxxxxx 职称 指导老师职称 毕业设计时间 2009年11月——2010年1月

摘要:应用VHDL语言编程,进行了多功能数字钟的设计,并在MAX PLUSⅡ环境下通过了编译、仿真、调试。 关键词:VHDL;EDA;数字钟;仿真图 0.引言 随着科学技术的迅猛发展,电子工业界经历了巨大的飞跃。集成电路的设计正朝着速度快、性能高、容量大、体积小和微功耗的方向发展。基于这种情况,可编程逻辑器件的出现和发展大大改变了传统的系统设计方法。可编程逻辑器件和相应的设计技术体现在三个主要方面:一是可编程逻辑器件的芯片技术;二是适用于可逻辑编程器件的硬件编程技术,三是可编程逻辑器件设计的EDA开发工具,它主要用来进行可编程逻辑器件应用的具体实现。在本实验中采用了集成度较高的FPGA 可编程逻辑器件, 选用了VHDL硬件描述语言和MAX + p lusⅡ开发软件。VHDL硬件描述语言在电子设计自动化( EDA)中扮演着重要的角色。由于采用了具有多层次描述系统硬件功能的能力的“自顶向下”( Top - Down)和基于库(L ibrary - Based)的全新设计方法,它使设计师们摆脱了大量的辅助设计工作,而把精力集中于创造性的方案与概念构思上,用新的思路来发掘硬件设备的潜力,从而极大地提高了设计效率,缩短 了产品的研制周期。MAX + p lusⅡ是集成了编辑器、仿真工具、检查/分析工具和优化/综合工具的这些所有开发工具的一种集成的开发环境,通过该开发环境能够很方便的检验设计的仿真结果以及建立起与可编程逻辑器件的管脚之间对应的关系。 1. EDA简介 20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。 EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL 完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。 这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

多功能数字钟电路设计

多功能数字钟电路设计 一、数字电子钟设计摘要 (2) 二、数字电子钟方案框图 (2) 三、单元电路设计及相关元器件的选择 (3) 1.6进制计数器电路的设计 (3) 2.10进制计数器电路的设计 (4) 3.60进制计数器电路的设计 (4) 4.时间计数器电路的设计 (5) 5.校正电路的设计 (6) 6.时钟电路的设计 (7) 7.整点报时电路设计 (8) 8. 译码驱动及单元显示电路 (9) 四、系统电路总图及原理 (9) 五、经验体会 (10) 六、参考文献 (10) 附录A:系统电路原理图 附录B:元器件清单

一、数字电子钟设计摘要 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 二、数字电子钟方案框图 图1 数字电子钟方案框图

三、单元电路设计和元器件的选择 1. 6进制计数器电路的设计 现要设计一个6进制的计数器,采用一片中规模集成电路74LS90N芯片,先接成十进制,再转换成6进制,利用“反馈清零”的方法即可实现6进制计数,如图2所示。 图2

2. 10进制电路设计 图3 3. 60 进数器电路的设计 “秒”计数器与“分”计数器都是六十进制,它由一级十进制计数器和一级六进制计数器连接而成,如图4所示,采用两片中规模集成电路74LS90N串接起来构成“秒”“分”计数器。

多功能数字钟设计

摘要 本实验是利用QuartusII 7.0软件设计一个多功能数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定等附加功能,使得设计的数字钟的功能更加完善。 关键字:QuartusII、数字钟、多功能、仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-holding and belling on the hour. And then validated the design on the experimental board. In addition, additional functions like displaying and resetting the week and setting alarm make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (7) 3)动态显示模块 (9) 4)校分与校时模块 (10) 5)清零模块 (11) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (12) 1)星期模块 (12) 2)闹钟模块 (13) 五.总电路的形成 (16) 六.调试、编程下载 (17) 七.实验感想 (17) 八.参考文献 (20)

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

基于VHDL的多功能数字钟设计报告

基于VHDL的多功能数字钟 设计报告 021215班 卫时章 02121451

一、设计要求 1、具有以二十四小时制计时、显示、整点报时、时间设置和闹钟的功能。 2、设计精度要求为1秒。 二、设计环境:Quartus II 三、系统功能描述 1、系统输入:时钟信号clk采用50MHz;系统状态及较时、定时转换的控制信号为k、set,校时复位信号为reset,均由按键信号产生。 2、系统输出:LED显示输出;蜂鸣器声音信号输出。 3、多功能数字电子钟系统功能的具体描述如下: (一)计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。 (二)校时:在计时显示状态下,按下“k”键,进入“小时”待校准状态,若此时按下“set”键,小时开始校准;之后按下“k”键则进入“分”待校准状态;继续按下“k”键则进入“秒”待复零状态;再次按下“k”键数码管显示闹钟时间,并进入闹钟“小时”待校准状态;再次按下“k”键则进入闹钟“分”待校准状态;若再按下“k”键恢复到正常计时显示状态。若校时过程中按下“reset”键,则系统恢复到正常计数状态。 (1)“小时”校准状态:在“小时”校准状态下,显示“小时”的数码管以2Hz 闪烁,并按下“set”键时以2Hz的频率递增计数。 (2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (3)“秒”校准状态:在“秒复零”状态下,显示“秒”的数码管以2Hz闪烁,并以1Hz的频率递增计数。 (4)闹钟“小时”校准状态:在闹钟“小时”校准状态下,显示“小时”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (5)闹钟“分”校准状态:在闹钟“分”校准状态下,显示“分”的数码管以2Hz闪烁,并按下“set”键时以2Hz的频率递增计数。 (三)整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57”秒发频率为500Hz的低音,在“59”分钟的第“59”秒发频率为1000Hz的高音,结束时为整点。 (四)显示:采用扫描显示方式驱动4个LED数码管显示小时、分,秒由两组led灯以4位BCD 码显示。 (五)闹钟:闹钟定时时间到,蜂鸣器发出频率为1000Hz的高音,持续时间为60秒。 四、各个模块分析说明 1、分频器模块(freq.vhd) (1)模块说明:输入一个频率为50MHz的CLK,利用计数器分出 1KHz的q1KHz,500Hz的q500Hz,2Hz的q2Hz和1Hz的q1Hz。 (2)源程序: library ieee;

多功能数字时钟设计

课程设计报告 学生姓名:刘佳 学 号:2017307010102 学院:电气工程学院 班级:通信171 题目:多功能数字时钟设计 指导教师:刘晓峰职称: 高级实验师指导教师:杨修宇职称: 实验师 2018 年 12 月 28 日

目录 1. 设计要求 (3) 2. 设计原理及框图 (3) 2.1 模块组成 (3) 3. 器件说明 (4) 4. 设计过程 (8) 4.1显示电路模块设计 (8) 4.2时钟脉冲电路模块设计 (9) 4.3计时模块电路设计 (10) 4.4计时校时控制模块电路设计 (11) 4.5整点报时与定点报时模块电路设计 (12) 5. 仿真调试过程 (13) 6. 收音机原理及焊接调试 (14) 6.1收音机原理 (14) 6.2收音机焊接工艺要求 (16) 6.3收音机调试过程 (16) 7. 设计体会及收获 (17)

1. 设计要求 (1)以24小时为一个计时周期,稳定的显示时、分、秒。 (2)当电路发生走时误差时,可以对所设计的时钟进行校时。 (3)电路有整点报时功能。报时声响为四低一高,最后一响高音正好为整点。 (4)电路具有闹钟功能,当闹钟所设定时间与时钟计时相同时,发出提示音, 时长为一分钟。 2. 设计原理及框图 2.1 模块组成 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组。如图1所示。 图1 多功能数字时钟原理框图 多功能数字时钟由时钟脉冲电路模块、秒计时模块、分计时模块、时计时模块、显示模块、计时校时控制模块、定点报时模块与整点报时模块组成。时钟脉冲电路模块由振荡电路与分频电路组成,为数字时钟提供秒脉冲信号、定点整点报时信号以及调试信号。计时电路包括“秒”计时、“分”计时与“时”计时电

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

多功能数字钟电路的设计与制作

多功能数字钟电路的设计与制作 一、设计任务与要求 设计和制作一个多功能数字钟,要求能准确计时并以数字形式显示时、分、秒的时间,能校正时间,准点报时。 二、方案设计与论证 1.数字钟设计原理 数字电子钟一般由振荡器、译码器、显示器等几部分电路组成,这些电路都是数字电路中应用最广的基本电路。振荡器产生的1Hz的方波,作为秒信号。秒信号送入计数器进行计数,并把累计的结果以“时”、“分”、“秒”的数字显示出来。“秒”的计数、显示由两级计数器和译码器组成的六十进制计数电路实现;“分”的计数、显示电路与“秒”的相同;“时”的计数、显示由两级计数器和译码器组成的二十四进制计数电路实现。所有计时结果由七段数码管显示器显示。用4个与非门构成调时电路,通过改变方波的频率,进行调时。最后用与非门和发光二极管构成整点显示部分。

2.总体结构框图如下: 图14 总体框图 三、单元电路设计与参数计算 1.脉冲产生电路 图15 晶振振荡器原理图 图16 555定时器脉冲产生电路原理图 振荡器可由晶振组成(如图15),也可以由555定时器组成。图16是由555定时器构成的1HZ 的自激振荡器,其原理是: 第一暂态2、6端电位为Vcc 3 1 ,则输出为高电平,三极管不导通,电容C 充电,此 时2、6端电位上升。当上升至大于Vcc 3 2 时,输出为低电平,三极管导通,电容C 放电, 11 21 C 1 R C 2 R O

此时2、6端电位下降,下降至Vcc 3 1 时,输出高电平,以此循环。根据公式C R R f )2(43.121+≈ 得,此时频率为0.991。 图17 555定时器波形关系 图18 555定时器产生1Hz 方波原理图 2.时间计数电路 图19 74LS161引脚图 74LS161功能表 v V 2 3 V 1 3 v U 1 74L S 161D Q A 14Q B 13Q C 12Q D 11R C O 15A 3B 4C 5D 6 E N P 7E N T 10 ~L O A D 9~C L R 1 C L K 2

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

多功能数字钟的设计与实现

多功能数字钟的设计与实现一、实验目的 1.掌握数字钟的设计原理。 2.用微机实验平台实现数字钟。 3.分析比较微机实现的数字钟和其他方法实现的数字钟。 二、实验内容与要求 使用微机实验平台实现数字钟。 1.基本要求如下: 1) 24 小时制时间显示。 2) 3) 4)可以随时进行时间校对。 整点报时。 闹钟功能,要求设置起闹时间时,不影响时钟的正常走时。 2.提高要求 1) 2)校时时相应位闪烁。能够设置多个起闹点。 三、实验报告要求 1.设计目的和内容 2.总体设计 3.硬件设计:原理图(接线图)及简要说明 4.软件设计框图及程序清单 5.设计结果和体会(包括遇到的问题及解决的方法) 四、系统总体设计 根据设计要求,初步思路如下: 1)计时单元由定时/计数器8253的通道0 来实现。定时采用硬件计数和软件技术相结合的方式,即通过8253产生一定的定时时间,然后再利用软件进行计数,从而实现24小时制定时。8253定时时间到了之后产生中断信号,8253在中断服务程序中实现时、分、秒的累加。 2)时间显示采用实验平台上的6个LED数码管分别显示时、分、秒,采用动态扫描方式实现。 3)校时和闹铃定时通过键盘电路和单脉冲产生单元来输入。按键包括校时键、闹钟定时键、加1键和减1键等。

4) 报警声响用蜂鸣器产生,将蜂鸣器接到 8255 的一个端口,通过输出电平的高低来 控制蜂鸣器的发声。 系统硬件设计主要利用微机实验平台上的电路模块。硬件电路主要由键盘电路、 单脉冲产生单元、8253 定时计数器、8255 并行接口单元、8259 中断控制器、LED 显 示电路和蜂鸣器电路等等。系统的硬件电路设计框图如图 1 所示。 图 1 硬件电路框图 五、硬件设计 根据设计思路,硬件电路可通过实验平台上的一些功能模块电路组成,由于实验平台 上的各个功能模块已经设计好,用户在使用时只要设计模块间电路的连接,因此,硬件电 路的设计及实现相对简单。完整系统的硬件连接如图 2 所示。硬件电路由定时模块、按键 模块、数码管显示模块和蜂鸣器模块组成。 Q6 路 图 2 系统硬件电路图 微机系统 8253 8255 8259 数码管显示 电路 键盘电路 蜂鸣器电路 单脉冲发生 单元 单脉冲发 生单元 键盘电路 8255 PA0 PB0 PC 8253 OUT0 GATE0 Clk0 地址 CS1 译码 CS2 电路 CS3 CS4 数码管显示模块 8259 IRQ2 IRQ3 IRQ5 PC BUS 蜂鸣器 单脉冲发 生单元

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

数电课程设计多功能数字钟的设计与实现

课程设计任务书 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《******大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年 3 月18 日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年3 月22日至2013 年5 月10 日,方案选择和电路设计。 3、2013 年5 月25 日至2013 年7 月2 日,电路调试和设计说明书撰写。 4、2013 年7 月5 日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 1 引言 (1) 1.1 数字钟简介 (1) 1.2 EWB简介 (1) 2 方案选择 (3) 3 系统框图 (4) 4 分电路设计 (5) 4.1 脉冲产生电路 (5) 4.1.1设计要求 (5) 4.1.2所需元件 (6) 4.1.3元件介绍 (6) 4.1.4参数计算 (7) 4.1.5电路设计 (8) 4.2计数电路 (9) 4.2.1秒电路 (9) 4.2.2分电路 (11) 4.2.3时电路 (13) 4.3显示电路 (14) 4.3.1所需元件 (14) 4.3.2元件介绍 (14) 4.3.3原理说明 (14) 4.3.4电路设计 (15) 4.4整点报时电路 (15)

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

相关主题
文本预览
相关文档 最新文档