当前位置:文档之家› 东南大学数字电路实验报告(二)

东南大学数字电路实验报告(二)

东南大学数字电路实验报告(二)
东南大学数字电路实验报告(二)

东南大学电工电子实验中心

实验报告

课程名称:数字逻辑电路实验

第二次实验

实验名称:门电路和组合逻辑

院(系):电气工程专业:电气工程及自动化姓名:学号:

实验室: 104 实验时间:2013年11月8日评定成绩:审阅教师:

一、实验目的

(1)掌握TTL和CMOS器件的静态特性和动态特性测量方法及这些特性对数字系统设计的影响;

(2)掌握通过数字器件手册查看器件静态和动态特性参数;

(3)掌握不同结构的数字器件之间的互连;

(4)掌握OC门和三态门的特性和使用方法;

(5)加深示波器测量技术的训练;

(6)掌握小规模组合逻辑的工程设计方法;

(7)了解竞争和冒险的产生原因,消除方法,掌握用示波器和逻辑分析捕捉毛刺的方法。

二、实验器材

74LS00 74LS20

74LS244 74HC01

74LS04

三、 必做实验

1.(1)用 OC 门实现三路信号分时传送的总线结构

a. 用OC 门实现三路信号分时传送的总线结构,框图如图2.5.5所示,功能如表2.5.2

所示。(注意OC 门必须外接负载电阻和电源,E C 取5V )

设计电路

D 2

D 1

D 0

A 2

A 1

A 0

Y

图2.5.5 三路分时总线原理框图

① 查询相关器件的数据手册,计算OC 连接电路。

)(107.6610

5.039.45'3

6

min max Ω?=??-=?+-=

-IH CEO OH C C I N nI V E R )(5.911102.526

.053

max ax min Ω=?-=?--=

-IL OL OLm C C I N I V E R

选取Ω=k R C 2。

表2.5.2 设计要求的逻辑功能

控制输入

输出 A 2 A 1 A 0 Y 0 0 1 D 0 0

1

D 1

设计图如右图所示

接线图如下

②静态验证:控制输入和数据输入端加高低电平,用电压表测量输出高低电平的电压值,

注意测量A2A1A0=000时的输出值。

E c=5.1V

A2 A1 A0 D2 D1 D0 输出Y 电压/V

0 0 1 X X 0 0 0.195

0 0 1 X X 1 1 5.017

0 1 0 X 0 X 0 0.194

0 1 0 X 1 X 1 5.013

1 0 0 0 X X 0 0.193

1 0 0 1 X X 1 5.011

0 0 0 X X X 1 5.008

③ 动态验证:控制输入加高低电平,数据输入端加连续脉冲信号,用示波器双踪显示输入

和输出波形,测量波形的峰峰值、高电平电压和低电平电压,对结果进行分析并解释为什么要选择“DC ”。

A 2

A 1

A 0

D 2

D 1

D 0

输出Y

0 0 1 X X TTL 0 1 0 X TTL X 1 0

TTL

X

X

频率/Hz 峰-峰值/V 高电平/V 低电平/V 输入波形 100 5.20 5.20 0.00 输出波形

100

4.08

3.60

-0.48

④ 器件电源电压V CC 仍为5V ,将E C 改为10V ,重复①和②,分析两者的差别。注意,不

要直接将V CC 改为10V ,避免烧毁器件。 选取Ω=k R C 2,真值表如下:E c =10.02V

A 2 A 1 A 0 D 2 D 1 D 0 输出Y 电压/V 0 0 1 X X 0 0 0.342V 0

1

X

X

1

1

9.99V

0 1 0 X 0 X 0 0.332V

0 1 0 X 1 X 1 9.96V

1 0 0 0 X X 0 0.346V

1 0 0 1 X X 1 9.95V

0 0 0 X X X 1 9.95V

1.(2)用三态门实现三路信号分时传输

要求:用三态门实现实验内容7中的三路信号分时传输

①重复实验内容7中的②和③,注意不要同时将两个或两个以上的三态门的控制端处于使

能状态。

②将A2A1A0设为“000”,D2D1D0设为“111”,此时输出端为高阻状态,测量输出端电压值,

总结如何用万用表判断高阻态。

用三态门实现三路信号分时传送的总线结构电路图如下图所示。

实验接线图如下

真值表如下:

A2A1A0D2D1D0Y 电压/V

0 0 1 X X 0 0 0.012

0 0 1 X X 1 1 4.845

0 1 0 X 0 X 0 0.011

0 1 0 X 1 X 1 4.846

1 0 0 0 X X 0 0.013

1 0 0 1 X X 1 4.847

0 0 0 X X X 1 0.252V 输入TTL方波:

A2A1A0D2D1D0输出Y

0 0 1 X X TTL

0 1 0 X TTL X

1 0 0 TTL X X

波形参数如下:

频率/Hz 峰-峰值/V 高电平/V 低电平/V 输入波形100.0 5.12 5.12 0.00

数字钟设计报告——数字电路实验报告

. 数字钟设计实验报告 专业:通信工程 :王婧 班级:111041B 学号:111041226 .

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生器、“时、 3

东南大学高等数学数学实验报告上

Image Image 高等数学数学实验报告 实验人员:院(系) ___________学号_________姓名____________实验地点:计算机中心机房 实验一 1、 实验题目: 根据上面的题目,通过作图,观察重要极限:lim(1+1/n)n =e 2、 实验目的和意义 方法的理论意义和实用价值。 利用数形结合的方法观察数列的极限,可以从点图上看出数列的收敛性,以及近似地观察出数列的收敛值;通过编程可以输出数列的任意多项值,以此来得到数列的收敛性。通过此实验对数列极限概念的理解形象化、具体化。 三、计算公式 (1+1/n)n 四、程序设计 五、程序运行结果 六、结果的讨论和分析 当n足够

Image Image 大时,所画出的点逐渐接近于直线,即点数越大,精确度越高。对于不同解题方法最后均能获得相同结果,因此需要择优,从众多方法中尽可能选择简单的一种。程序编写需要有扎实的理论基础,因此在上机调试前要仔细审查细节,对程序进行尽可能的简化、改进与完善。 实验二一、实验题目 制作函数y=sin cx的图形动画,并观察参数c对函数图形的影响。 二、实验目的和意义 本实验的目的是让同学熟悉数学软件Mathematica所具有的良好的作图功能,并通过函数图形来认识函数,运用函数的图形来观察和分析函数的有关性态,建立数形结合的思想。三、计算公式:y=sin cx 四、程序设计五、程序运行结果 六、结果的讨论和分析 c的不同导致函数的区间大小不同。 实验三 一、实验题目 观察函数f(x)=cos x的各阶泰勒展开式的图形。 二、实验目的和意义 利用Mathematica计算函数的各阶泰勒多项式,并通过绘制曲线图形,来进一步掌握泰勒展开与函数逼近的思想。 三、计算公式

数字逻辑电路实验报告

数字逻辑电路 实验报告 指导老师: 班级: 学号: 姓名: 时间: 第一次试验一、实验名称:组合逻辑电路设计

二、试验目的: 1、掌握组合逻辑电路的功能测试。 2、验证半加器和全加器的逻辑功能。 3、、学会二进制数的运算规律。 三、试验所用的器件和组件: 二输入四“与非”门组件3片,型号74LS00 四输入二“与非”门组件1片,型号74LS20 二输入四“异或”门组件1片,型号74LS86 四、实验设计方案及逻辑图: 1、设计一位全加/全减法器,如图所示: 电路做加法还是做减法是由M决定的,当M=0时做加法运算,当M=1时做减法运算。当作为全加法器时输入信号A、B和Cin分别为加数、被加数和低位来的进位,S 为和数,Co为向上的进位;当作为全减法时输入信号A、B和Cin分别为被减数,减数和低位来的借位,S为差,Co为向上位的借位。 (1)输入/输出观察表如下: (2)求逻辑函数的最简表达式 函数S的卡诺图如下:函数Co的卡诺如下: 化简后函数S的最简表达式为: Co的最简表达式为:

(3)逻辑电路图如下所示: 2、舍入与检测电路的设计: 用所给定的集成电路组件设计一个多输出逻辑电路,该电路的输入为8421码,F1为“四舍五入”输出信号,F2为奇偶检测输出信号。当电路检测到输入的代码大于或等于5是,电路的输出F1=1;其他情况F1=0。当输入代码中含1的个数为奇数时,电路的输出F2=1,其他情况F2=0。该电路的框图如图所示: (1)输入/输出观察表如下: B8 B4 B2 B1 F2 F1 0 0 0 0 0 0 0 0 0 1 1 0 0 0 1 0 1 0 0 0 1 1 0 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 0 1 0 1 1 0 1 0 0 1 1 0 1 1 1 1 1 1 0 0 0 1 1 1 0 1 1 1

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

高等数学下实验报告

高等数学实验报告 实验人员:院(系)化学化工学院 学号19013302 姓名 黄天宇 实验地点:计算机中心机房 实验七:空间曲线与曲面的绘制 一、 实验目的 1、利用数学软件Mathematica 绘制三维图形来观察空间曲线和空 间曲面图形的特点,以加强几何的直观性。 2、学会用Mathematica 绘制空间立体图形。 二、实验题目 利用参数方程作图,做出由下列曲面所围成的立体图形: (1) x y x y x z =+--=2 222,1及xOy 平面; (2) 01,=-+=y x xy z 及.0=z 三、实验原理 空间曲面的绘制 作参数方程],[],,[,),(),() ,(max min max min v v v u u v u z z v u y y v u x x ∈∈? ?? ??===所确定的曲面图形的 Mathematica 命令为: ParametricPlot3D[{x[u,v],y[u,v],z[u,v]},{u,umin,umax}, {v,vmin,vmax},选项] 四、程序设计及运行 (1)

(2)

六、结果的讨论和分析 1、通过参数方程的方法做出的图形,可以比较完整的显示出空 间中的曲面和立体图形。 2、可以通过mathematica 软件作出多重积分的积分区域,使积分能够较直观的被观察。 3、从(1)中的实验结果可以看出,所围成的立体图形是球面和圆柱面所围成的立体空间。 4、从(2)中的实验结果可以看出围成的立体图形的上面曲面的方程是xy z =,下底面的方程是z=0,右边的平面是01=-+y x 。 实验八 无穷级数与函数逼近 一、 实验目的 (1) 用Mathematica 显示级数部分和的变化趋势; (2) 展示Fourier 级数对周期函数的逼近情况; (3) 学会如何利用幂级数的部分和对函数进行逼近以及函数值的近似计算。 二、实验题目 (1)、观察级数 ∑ ∞ =1 ! n n n n 的部分和序列的变化趋势,并求和。 (2)、改变例2中m 及x 0的数值来求函数的幂级数及观察其幂级数逼近函数的情况 (3)、观察函数? ? ?<≤<≤--=ππx x x x f 0,10 ,)(展成的Fourier 级数

数字电路实验二 半加半减器的设计

实验二半加半减器的设计 一、实验目的 1、利用普通的门电路或使用译码器或使用数据选择器设计一个半加半减器。 二、实验仪器及器件 1、数字电路试验箱,示波器 2、虚拟器件:74LS197,74LS138,74LS00,74LS20,74LS151 三、实验预习 在proteus上进行了仿真实验,通过普通的门电路连接成半加半减器的逻辑电路。 在之后的课上了解了编码器和译码器以及数据选择器。 四、实验原理 1、用普通门电路实现组合逻辑电路 2、用译码器实现组合逻辑电路 译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。 3、用数据选择器实现组合逻辑电路 数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。 五、实验内容 首先,根据半加半减器的电路逻辑列出真值表: 输入输出 S A B Y C(进/借位) 74LS138对应输出位置0 0 0 0 0 Y0 0 0 1 1 0 Y1 0 1 0 1 0 Y2 0 1 1 0 1 Y3 1 0 0 0 0 Y4 1 0 1 1 1 Y5 1 1 0 1 0 Y6 1 1 1 0 0 Y7 根据真值表画出Y和C卡诺图: Y: S\AB 00 01 11 10 0 1 1 1 1 1 C: S\AB 00 01 11 10 0 1 1 1 根据卡诺图可得逻辑表达式: Y=A⊕B C=(S⊕A)B

然后,开始在数电实验箱上连接电路,我选择的芯片是:74LS197,74LS00,74LS20,74LS138.对于74LS197,先将CP1接连续脉冲,然后分别将Q1,Q2,Q3接到“0-1”显示器上检查电路是否正常,接着将Q3,Q2,Q1分别接到74LS138的S0,S1,S2作为八进制输入,Q3,Q2,Q1分别代表S,A,B。根据真值表,Y在Y1,Y2,Y5,Y6处有高电平的输出,C在Y3,Y5处有高电平输出,分别将它们接入与非门芯片74LS20、74LS00即可得到Y和C的输出。 最后,将CP1,S,A,B,Y,C接入示波器得到下图: 从上到下分别是CP1,B,A,S,C,Y.

数字电子技术实验报告汇总

《数字电子技术》实验报告 实验序号:01 实验项目名称:门电路逻辑功能及测试 学号姓名专业、班级 实验地点物联网实验室指导教师时间2016.9.19 一、实验目的 1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。 2. 掌握数字电路实验箱及示波器的使用方法。 3、学会检测基本门电路的方法。 二、实验仪器及材料 1、仪器设备:双踪示波器、数字万用表、数字电路实验箱 2. 器件: 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 三、预习要求 1. 预习门电路相应的逻辑表达式。 2. 熟悉所用集成电路的引脚排列及用途。 四、实验内容及步骤 实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。注意集成块芯片不能插反。线接好后经实验指导教师检查无误方可通电实验。实验中

1.与非门电路逻辑功能的测试 (1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC座,按图1.1接线、输入端1、2、4、5、分别接到K1~K4的逻辑开关输出插口,输出端接电平显 图 1.1 示发光二极管D1~D4任意一个。 (2)将逻辑开关按表1.1的状态,分别测输出电压及逻辑状态。 表1.1 输入输出 1(k1) 2(k2) 4(k3) 5(k4) Y 电压值(v) H H H H 0 0 L H H H 1 1 L L H H 1 1 L L L H 1 1 L L L L 1 1 2. 异或门逻辑功能的测试

图 1.2 (1)选二输入四异或门电路74LS86,按图1.2接线,输入端1、2、4、5接逻辑开关(K1~K4),输出端A、B、Y接电平显示发光二极管。 (2)将逻辑开关按表1.2的状态,将结果填入表中。 表1.2 输入输出 1(K1) 2(K2) 4(K35(K4) A B Y 电压(V) L H H H H L L L H H H H L L L H H L L L L L H H 1 1 1 1 1 1 1 1

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

数字电路实验报告

数字电路实验报告 班级:1403011 学号: 姓名:于梦鸽地点:EII-310 时间:第五批

实验一基本逻辑门电路实验 (一)实验目的 1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 (二)实验所用器件 二输入四与非门74LS00 1片 (三)实验容 1、测试74LS00逻辑关系接线图 输 入输 出 引脚1引脚3 引脚2 K1 K2 23 LED0 L L L L H H H H 图1.1 测试74LS00逻辑关系接线图表1.1 74LS00真值表 2.用3个三输入端与非门IC芯片74LS10安装如图所示的电路 从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 (四)实验数据结果 1、测试74LS00的逻辑关系 ?逻辑关系连接图

? 真值表 2.测试74LS86的逻辑关系 ? 逻辑关系连接图 ? 真值表 3.测试74LS10的逻辑关系 ? 真值表 输 入 输出 引脚1 引脚2 引脚3 L L H L H H H L H H H L 输 入 输出 引脚1 引脚2 引脚3 L L H L H L H L L H H H S B Y L L 0 L H 0 H L X1 H H X0 LED K1 K2 LED K1 K2

实验二组合逻辑电路部件实验 (一)实验目的: 掌握逻辑电路设计的基本方法 掌握EDA工具MAX-PlusII的原理图输入方法 掌握MAX-PlusII的逻辑电路编译、波形仿真的方法 (二)实验容 1.逻辑单元电路的波形仿真 利用EDA工具的原理图输入法,分别输入74138图元符号;建立74138的仿真波形文件,并进行波形仿真,记录波形;分析74138逻辑关系。 3-8译码器74138的波形仿真 实验数据及结果 2.设计并实现一个3位二进制全加器 输入输出 E A1 A2 Q0 Q1 Q2 Q3 1 ΦΦ 1 1 1 1 0 0 0 1 1 1 0 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

高等数学(下册)数学实验报告

高等数学A(下册)实验报告 院(系): 学号:姓名: 实验一 利用参数方程作图,作出由下列曲面所围成的立体: (1) 2 2 1Y X Z- - = , X Y X= +2 2 及 xOy 面 ·程序设计: -1, 1},Axe s2=ParametricPlot3D[{1/2*Cos[u]+1/2,1/2*Sin[u],v},{u,- s3=ParametricPlot3D[{u,v,0},{u,-1,1},{v,- DisplayFunction 程序运行结果: 实验二 实验名称:无穷级数与函数逼近 实验目的:观察的部分和序列的变化趋势,并求和

实验内容: (1)利用级数观察图形的敛散性 当n 从1~400时,输入语句如下: 运行后见下图,可以看出级数收敛,级数和大约为1.87985 (2先输入: 输出: 输出和输入相同,此时应该用近似值法。输入: 输出: 1.87985 结论:级数大约收敛于1.87985 实验三: 1. 改变例2中m 的值及的数值来求函数的幂级数及观察其幂级数逼近函数的情况

·程序设计: m 5; f x_:1 x^m;x0 1; g n_,x0_ :D f x, x, n .x x0; s n_,x_: Sum g k,x0/k x x0 ^k, k, 0, t Table s n, x, n, 20; p1 Plot Evaluate t ,x,1,2,3 2; p2 Plot 1 x ^m , x,1 2,3 2, PlotStyle RGBColor 0,0,1; Show p1,p2 ·程序运行结果 实验四 实验名称:最小二乘法 实验目的:测定某种刀具的磨损速度与时间的关系实验内容:

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字集成电路设计实验报告

哈尔滨理工大学数字集成电路设计实验报告 学院:应用科学学院 专业班级:电科12 - 1班 学号:32 姓名:周龙 指导教师:刘倩 2015年5月20日

实验一、反相器版图设计 1.实验目的 1)、熟悉mos晶体管版图结构及绘制步骤; 2)、熟悉反相器版图结构及版图仿真; 2. 实验内容 1)绘制PMOS布局图; 2)绘制NMOS布局图; 3)绘制反相器布局图并仿真; 3. 实验步骤 1、绘制PMOS布局图: (1) 绘制N Well图层;(2) 绘制Active图层; (3) 绘制P Select图层; (4) 绘制Poly图层; (5) 绘制Active Contact图层;(6) 绘制Metal1图层; (7) 设计规则检查;(8) 检查错误; (9) 修改错误; (10)截面观察; 2、绘制NMOS布局图: (1) 新增NMOS组件;(2) 编辑NMOS组件;(3) 设计导览; 3、绘制反相器布局图: (1) 取代设定;(2) 编辑组件;(3) 坐标设定;(4) 复制组件;(5) 引用nmos组件;(6) 引用pmos组件;(7) 设计规则检查;(8) 新增PMOS基板节点组件;(9) 编辑PMOS基板节点组件;(10) 新增NMOS基板接触点; (11) 编辑NMOS基板节点组件;(12) 引用Basecontactp组件;(13) 引用Basecontactn 组件;(14) 连接闸极Poly;(15) 连接汲极;(16) 绘制电源线;(17) 标出Vdd 与GND节点;(18) 连接电源与接触点;(19) 加入输入端口;(20) 加入输出端口;(21) 更改组件名称;(22) 将布局图转化成T-Spice文件;(23) T-Spice 模拟; 4. 实验结果 nmos版图

高等数学实验报告

课程实验报告 专业年级2016级计算机类2班课程名称高等数学 指导教师张文红 学生姓名李发元 学号20160107000215 实验日期2016.12 .21 实验地点勤学楼4-24 实验成绩 教务处制 2016 年9月21 日

实验项 目名称 Matlab软件入门与求连续函数的极限 实验目的 及要求 实验目的: 1.了解Matlab软件的入门知识; 2.掌握Matlab软件计算函数极限的方法; 3.掌握Matlab软件计算函数导数的方法。 实验要求: 1.按照实验要求,在相应位置填写答案; 2.将完成的实验报告,以电子版的形式交给班长, 转交给任课教师,文件名“姓名+ 学号”。 实验内容利用Matlab完成下列内容: 1、(1) 2 2 1 lim 471 x x x x →∞ - -+ ;(2) 3 tan sin lim x x x x → - ;(3) 1 lim 1 x x x x →∞ - ?? ? + ??2、(1)x x y ln 2 =,求y';(2)ln(1) y x =+,求()n y 实验步骤1.开启MATLAB编辑窗口,键入编写的命令,运行; 2.若出现错误,修改、运行直到输出正确结果; 3.将Matlab输入输出结果,粘贴到该实验报告相应的位置。第一题 2 2 1 lim 471 x x x x →∞ - -+ 运行编码是 >> syms x >> limit((x^2-1)/(4x^2x+1),x,inf) ans =

1/4 第二题3 0tan sin lim x x x x →- >> syms x >> limit((tanx-sinx)/(x^3),x,0) ans = 1 第三题1lim 1x x x x →∞-?? ?+?? >> syms x >> limit(((x-1)^x)/(x+1),x,inf) ans = 2 第四题(1)x x y ln 2=,求y '; >> syms x >>f(x)=x^2in(x) f(x)=x^2in(x) >>diff(f(x)), ans = 2xinx+x 第五题ln(1)y x =+,求()n y >> syms x >>f(x)In(1+x) f(x)In(1+x) >>diff(f(x),n), ans =

(完整版)基于QuartusII的数字电路仿真实验报告手册

数字电路仿真实验报告 班级通信二班姓名:孔晓悦学号:10082207 作业完成后,以班级为单位,班长或课代表收集齐电子版实验报告,统一提交. 文件命名规则如“通1_王五_学号” 一、实验目的 1. 熟悉译码器、数据选择器、计数器等中规模数字集成电路(MSI)的逻辑功能及其使 用方法。 2. 掌握用中规模继承电路构成逻辑电路的设计方法。 3. 了解EDA软件平台Quartus II的使用方法及主要功能。 二、预习要求 1. 复习数据选择器、译码器、计数器等数字集成器件的工作原理。 2. 熟悉所有器件74LS153、74LS138、74LS161的功能及外引线排列。 3.完成本实验规定的逻辑电路设计项目,并画出接线图,列出有关的真值表。 三、实验基本原理 1.译码器 译码器的逻辑功能是将每个输入的二进制代码译成对应的高、低电平信号。译码器按功能可分为两大类,即通用译码器和显示译码器。通用译码器又包括变量译码器和代码变换译码器。 变量译码器是一种完全译码器,它将一系列输入代码转换成预知一一对应的有效信号。 这种译码器可称为唯一地址译码器。如3线—8线、4线—16线译码器等。 显示译码器用来将数字或文字、符号的代码译成相应的数字、文字、符号的电路。如BCD-七段显示译码器等。 2.数据选择器 数据选择器也陈伟多路选择器或多路开关,其基本功能是:在选择输入(又称地址输入)信号的控制下,从多路输入数据中选择某一路数据作为输出。因此,数据选择器实现的是时分多路输入电路中发送端电子开关的功能,故又称为复用器。一般数据选择器有n 个地址输入端,2n错误!未找到引用源。个数据输入端,一个数据输出端或反码数据输出端,同时还有选通端。目前常用的数据选择器有2选1、4选1、8选1、16选1等多种类型。 3.计数器 计数器是一个庸医实现技术功能的时序部件,它不仅可以用来对脉冲计数,还常用作数字系统的定时、分频、执行数字运算以及其他一些特定的逻辑功能。 74LS161是4位同步二进制计数器,它除了具有二进制加法计数功能外,还具有预置数、保质和异步置零等附加功能。 四、实验内容

高等数学的实验报告册答案

《数学实验——高等数学分册》(郭科主编) ---《实验报告册》参考答案 ------轩轩 第5章 1.(1) syms x y; f=(1-cos(x^2+y^2))/((x^2+y^2)*exp(x^2*y^2)); limit(limit(f,x,0),y,0) ans = (2) syms x y; f=(log(x*exp(x)+exp(y)))/sqrt(x^2+y^2); limit(limit(f,x,0),y,0) ans = NaN 另解 syms x y; f=log(x*exp(x)+exp(y)); g=sqrt(x^2+y^2); limit(limit(f/g,x,0),y,0) ans = NaN 注:“()”多了以后,系统无法识别,但在matlab的语法上是合理的。在有的一些matlab 版本上可以识别。在以下的题目答案中同理。 (3) syms x y; f=(2*x*sin(y))/(sqrt(x*y+1)-1); limit(limit(f,x,0),y,0) ans = 4 另解

syms x y; f=2*x*sin(y); g=sqrt(x*y+1)-1; limit(limit(f/g,x,0),y,0) ans = 4 2.(1) syms x y; z=((x^2+y^2)/(x^2-y^2))*exp(x*y); zx=diff(z,x) zx = (2*x*exp(x*y))/(x^2 - y^2) - (2*x*exp(x*y)*(x^2 + y^2))/(x^2 - y^2)^2 + (y*exp(x*y)*(x^2 + y^2))/(x^2 - y^2) zy=diff(z,y) zy = (2*y*exp(x*y))/(x^2 - y^2) + (x*exp(x*y)*(x^2 + y^2))/(x^2 - y^2) + (2*y*exp(x*y)*(x^2 + y^2))/(x^2 - y^2)^2 注:所有的x在高的版本中都可以替换为x。(即,不用单引号,结果任然正确。前提为:不与前面的函数冲突。) (2)syms x y z; u=log(3*x-2*y+z); ux=diff(u,x) ux = 3/(3*x - 2*y + z) uy=diff(u,y) uy = -2/(3*x - 2*y + z) uz=diff(u,'z') uz = 1/(3*x - 2*y + z) (3)syms x y; z=sqrt(x)*sin(y/x);

北京邮电大学数字电路实验报告

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能,并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器能够由两个半加器和一个或门构成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表 示式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)(

下高等数学数学实验报告

高等数学实验报告 实验人员:院(系)学号姓名 实验地点:计算机中心机房 实验一 一、实验题目: 作出曲面 x2 + z = 1,y2 + z = 1和 z = 0 所围成的立体。 二、实验目的和意义 掌握数学软件的使用并加以实践。通过数学软件将曲面形象生动地展现出来,建立直观的印象,有助于更直观地得到曲面的一些性质。从而将数学软件发展为辅助学习高等数学的工具。 三、程序设计

四、程序运行结果 五、结果的讨论和分析 曲面 x2 + z = 1,y2 + z = 1,z = 0 的参数方程分别为: x = u,y = v,z = -u2 + 1; x = u,y = v,z = -v2 + 1; x = u,y = v,z = 0; 再利用空间图形叠加语句作出图像。 通过三维图形,我们认识到两个抛物面围成的形状,有助于我们在解题时的理解和思考。

实验二 一、实验题目: 利用参数方程作图,作出由曲面 z = 0,z = 1 与z2 + 1 = x2 + y2所围成的立体。 二、实验目的和意义 根据曲面方程,将它转换为参数方程。再利用数学软件作图,通过数形结合,直观得出曲面性质。通过本实验,可以加深我们对马鞍面的理解,有助于我们在解题过程中的理解和思考。 三、程序设计 四、程序运行结果 五、结果的讨论和分析 由解析几何知识,曲面 z = 0,z = 1 和 z2 + 1 = x2 + y2所围成的立体是一个单叶双曲面介于平面 z = 0 和 z = 1 之间的部分,若不化成参数方程,直接输入程序,则输出的图形不完整,因为在一些点无定义,所以应化成参数方程。

高等数学实验报告

实验名称实验一MATLAB简介及基本操作实验二符号函数及一元微积分 实验目的熟悉MATLAB的软件环境并了解MA TLAB基本命令和基本函数及基本运算掌握符号函数的计算 绘制二维图形 会建立符号函数,掌握符号函数的运算 了解如何求符号函数的极限,导数及一元符号函数的积分 实 验 准 备 熟悉MATLAB的的软件环境及其工作界面简介

实验 内容 、过程与结果1.采用不同的命令求1.6180389的整数. 程序:>> x=1.6180389; >> round(x) 运行结果:ans =2 程序:>> x=1.6180389; >> fix(x) 运行结果:ans =1 程序:>> x=1.6180389; >> floor(x) 运行结果:ans = 1 程序:>> x=1.6180389; >> ceil(x) 运行结果:ans =2 2.利用Matlab计算下列简单算术运算:(1)2158.21+645835 ÷; 程序:>> 2158.21+6458/35 运行结果:ans = 2.3427e+003 (2)4532 3.278 2.563π -+; 程序:>> 3.278^45-2.56^32+3*pi 运行结果:ans =1.5937e+023 (3)sin48+cos24ln3.56 -; 程序:>>sin(48*pi/180)+cos(24*pi/180)-log(3.56)运行结果:ans =0.3869 (4)tan56|3 5.2518| +-. 程序:>> tan(56*pi/180)+abs(3-5.2518) 运行结果:ans =3.7344 3.求下列函数在指定点的函数值: (1)52 3679 y x x x =-+-,7.23 x=;程序:>> x=7.23 x =7.2300 >> y=3*x^5-6*x^2+7*x-9 运行结果:y =5.8995e+004

实验一 典型环节的电路模拟与数字仿真实验

实验一典型环节的电路模拟与数字仿真实验 一实验目的 通过实验熟悉各种典型环节传递函数及其特性,掌握电路模拟和数字仿真研究方法。 二实验内容 1.设计各种典型环节的模拟电路。 2.编制获得各种典型环节阶跃特性的数字仿真程序。 3.完成各种典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响。 4.运行所编制的程序,完成典型环节阶跃特性的数字仿真研究,并与电路模拟研究的结果作比较。 三实验步骤 1.熟悉实验设备,设计并连接各种典型环节的模拟电路; 2.利用实验设备完成各典型环节模拟电路的阶跃特性测试,并研究参数变化对典型环节阶跃特性的影响; 3.用MATLAB编写计算各典型环节阶跃特性的数字仿真研究,并与电路模拟测试结果作比较。分析实验结果,完成实验报告。 四实验结果 1.积分环节模拟电路、阶跃响应

仿真结果: 2.比例积分环节模拟电路、阶跃响应 仿真结果:

3.比例微分环节模拟电路、阶跃响应 仿真结果: 4.惯性环节模拟电路、阶跃响应

仿真结果: 5.实验结果分析: 积分环节的传递函数为G=1/Ts(T为积分时间常数),惯性环节的传递函数为G=1/(Ts+1)(T为惯性环节时间常数)。 当时间常数T趋近于无穷小,惯性环节可视为比例环节, 当时间常数T趋近于无穷大,惯性环节可视为积分环节。

实验二典型系统动态性能和稳定性分析的电路模拟与数 字仿真研究 一实验目的 1.学习和掌握动态性能指标的测试方法。 2.研究典型系统参数对系统动态性能和稳定性的影响。 二实验内容 1.观测二阶系统的阶跃响应,测出其超调量和调节时间,并研究其参数变化对动态性能和稳定性的影响。 三实验步骤 1.熟悉实验设备,设计并连接由一个积分环节和一个惯性环节组成的二阶闭环系统的模拟电路; 2.利用实验设备观测该二阶系统模拟电路的阶跃特性,并测出其超调量和调节时间; 3.二阶系统模拟电路的参数观测参数对系统的动态性能的影响; 4.分析结果,完成实验报告。 四实验结果 典型二阶系统 仿真结果:1)过阻尼

相关主题
文本预览
相关文档 最新文档