当前位置:文档之家› 最新华中科技大学电子线路设计测试实验FPGA数字钟设计报告

最新华中科技大学电子线路设计测试实验FPGA数字钟设计报告

最新华中科技大学电子线路设计测试实验FPGA数字钟设计报告
最新华中科技大学电子线路设计测试实验FPGA数字钟设计报告

华中科技大学电子线路设计与测试实验

2017年5月

一、实验目的

1、掌握数字钟电路的设计与调试方法

2、熟悉使用VERILOG HDL语言描述时序逻辑电路的方法,以及EDA仿真技术

二、实验内容

1、以数字形式显示时、分、秒的时间;

2、小时计数器为同步24进制;

3、要求手动校时、校分;

4、任意时刻闹钟;

5、小时显示(12/24)切换电路;

6、仿广播电台正点报时。

三、模块化,层次化设计(框图设计)

四、代码实现

1、顶层模块

module clock(decoder_h_m,decoder_s,alarm,_50mhz,

choose_h_m_de,hour_12_24,adj_min_key,adj_hour_key, set_min_key,set_hr_key,ctrl_bell,mode,vdd);

input _50mhz; //DE0

实验板上的晶振频率

wire _1khzin,_1hz,_2hz,_500hz;

//分频器的输出信号,1khz 通过不同位权的二进制数字获得1hz,2hz,500hz 的输出信号

input vdd; //用于提供整个数字钟的异步清零端或者高电平电压,0时清零

wire [7:0]hour,minute,second; //计时器的输出信号,作为中间变量存储和传输时间信号 12/24进制小时 计时并存储

60进制分钟 计时并存储

60进制秒 计时并存储

wire [7:0]hour_12,hour_all;

//12进制的小时计数器的变量,hour_all表示把12进制和24进制用一个变量统一,便于译码

input adj_min_key,adj_hour_key; //校正计时器小时分钟的输入按键,为1时校正时间,为0时正常计时

//计时器的中间使能控制信号,用于计时器的扩展,比如分进位用于控制小时的计数,实现模拟数字钟计时功能wire minl_en,minh_en,hour_en;

input hour_12_24;

//12进制与24进制的显示切换,也就是选择hou还是hour_12当为1时12进制,为0时是24进制表达

reg alarm_radio; //仿电台的报时信号输出,当此信号为1时报时信号输出,当此信号为0时不输出

wire alarm_clock; //闹钟的信号输出,同仿电台报时的功能,当此信号为1时,闹钟信号输出

input ctrl_bell; //控制闹钟的声音是否输出的按键

output alarm; //仿电台报时或者闹钟声音信号的输出,集成在一个输出端口,采用或运算使之输出在一起

wire [7:0]set_hr,set_min;//设定的闹钟时间输出信号,用于用户设置闹钟定时和用于和当前计时器的时间比较

input set_hr_key,set_min_key;

//设定闹钟小时和分钟的输入按键,作为小时计数器和分钟计数器的使能信号

//如果按下,使能有效,正常递增,当松开时,使能无效,不再递增,保存当前的值为闹钟所设定的时间。

//闹钟设定时间和计时器比较器的结果输出,分别为小时的十位比较结果

//小时个位比较结果,分钟十位比较结果,分钟个位比较结果

wire hr_h_equ,hr_l_equ,min__h_equ,min_l_equ;

input choose_h_m_de; //因4个数码管显示限制,用于控制显示小时还是分钟信号,1表示小时,0表示分钟output [13:0]decoder_h_m,decoder_s; //译码器的输出,也就是小时、分、秒的译码,用于控制七段译码管的亮灭

wire [7:0]led_hr,led_min,led_sec;

//输出8421BCD码给显示器,时分秒都是两位十进制数表示,需要八位二进制的BCD二进制码来表示

wire [7:0]led_min,led_sec; //说明变量的类型

wire [7:0]led_h_min; //由于译码管数量限制,所以把计时的时分集中在一个变量里便于译码

input mode;//设定显示器的显示模式,MODE=1时,显示闹钟所设定的时间,反之则显示计时器的时间

//50MHZ分频器调用模块,50mhz为DE0实验板上的晶振频率

divided50mhz de1(_1khzin,vdd,_50mhz);

//1khz分频器的模块调用,vdd,作为使能信号和清零信号,都处于高电平状态。前三种频率的信号为分频输出divided_frequency u0(_1hz,_2hz,_500hz,vdd,vdd,_1khzin);

//60进制秒计数器:调用10进制和6进制底层模块构成

counter10 u1(second[3:0],vdd,vdd,_1hz);

//秒个位计数器,为十进制,使能信号接电源高电平,一直有效,即一直计数,符合秒的概念

counter6 u2(second[7:4],vdd,(second[3:0]==4'h9),_1hz);

//秒计数器十位计数器,以秒个位是否达到九作为使能控制信号

//也就是个位是否产生进位,也就实现了60进制的扩展

assign minl_en=adj_min_key?vdd:(second==8'h59);

//分钟的个位使能信号产生,adj_min_key为1时校正分钟信号,所以无需等待秒的进位

//而为0时,则是正常计时状态,虚等待秒的进位来充当使能信号,产生正常的分钟计数

assign minh_en=(adj_min_key&&(minute[3:0]==4'h59))||(mintue[3:0]==4'h9) &&(second==8'h59);

//分钟的十位使能信号产生,adj_min_key为1时校正分钟信号

//但此时即便在校正状态,也需等待分钟信号的个位进位信号

//当其为0时,分钟是正常即使状态,需同时满足秒的进位与分钟的个位进位

//60进制分钟计数器,也是调用十进制计数器与6进制计数器完成,与秒计数器所不同的是使能信号的不同counter10 u3(mintue[3:0],vdd,minl_en,_1hz); //分计数器的个位计数

counter6 u4(mintue[7:4],vdd,minh_en,_1hz); //分计数器的十位计数

//产生小时计数器使能信号

//为1时校正小时,为0时正常计时,由于小时直接采用底层的24进制计数器

//所以只有一个使能信号,无需十位与个位的使能信号相区分

//正常计数时,需同时满足秒的进位与分的进位

assign hour_en=adj_hour_key?vdd:((mintue==8'h59)&&(second==8'h59));

//调用24进制计数器进行小时计数

counter24 u5(hour[7:4],hour[3:0],vdd,hour_en,_1hz);//24进制小时计数器

//调用12进制计数器进行小时计数

counter12 u6(hour_12[7:4],hour_12[3:0],vdd,hour_en,_1hz);//12进制小时计数器

//仿电台整点报时功能

always@(minute or second) //因为每逢整点都要报时。所以无需引入小时hour信号

if(minute==8'h59) //先判断分钟计数器是否满足59,再进行判断秒计数器的数值

case(second) //由于需要在51,53,55,57,59秒时报时,所以用case语句

8'h51,

8'h53,

8'h55,

8'h57:alarm_radio==_500hz; //当出现51,53,55,57,时都往下来执行输出500HZ的信号语句

8'h59:alarm_radio==_1khzin; //当出现59秒时,开始输出1000HZ的信号语句

default:alarm_radio=1'b0; //其他情况下输出0,也就是不报时

endcase

else alarm_radio=1'b0; //当分钟信号不满足59时输出为0,也就是不输出报时信号

//闹钟设定模块

//60进制分计数器,用于闹钟设定分钟

counter10 su1(set_min[3:0],vdd,set_min_key,_2hz);

//当设置分钟的按键按下时使能信号有效,开始计数递增

//松开时,设定的分钟个位信号存入闹钟数字存储的低4位BCD码

counter6 su2(set_min[7:4],vdd,(set_min[3:0]==4'h9),_2hz);//分钟的十位设置

//24进制小时计数器,用于闹钟设定小时

counter24 su3(set_hr[7:4],set_hr[3:0],vdd,set_hr_key,_2hz);//此时,设定小时的按键充当使能信号

//比较闹钟时间和计时器设定时间是否相等

_4bitcomparer su4(hr_h_equ,set_hr_[7:4],hour[7:4]);//调用4位比较器,一次比较一个BCD码表示的十进制数字

_4bitcomparer su5(hr_l_equ,set_hr_[3:0],hour[3:0]); //小时的个位比较结果

_4bitcomparer su6(min_h_equ,set_min_[7:4],minute[7:4]); //分钟的十位比较结果

_4bitcomparer su7(min_l_equ,set_min_[3:0],minute[3:0]); //分钟的个位比较结果

//闹钟声音控制信号

assign

alarm_clock=ctrl_bell?(((hr_h_equ&&hr_l_equ&&min_h_equ&&min_l_equ))&&(((second[0]==1'b1)&&_500hz)||((second[0] ==1'b0)&&_1khzin)):1'b0;

//当CTRL_BELL为1时,闹钟声音被允许输出,才进行后续判断,而为0时,不允许输出,时钟为0;

//hr_h_equ&&hr_l_equ&&min_h_equ&&min_l_equ)用于检测是否满足闹钟响铃条件

//即设定时间与当前时间是否相等。

//second[0]==1'b1)&&_500hz)||((second[0]==1'b0)&&_1khzin)用于给闹钟输出信号变量赋值

//500hz和1khz交替输出,用秒的最低位一直在0和1跳变来实现。

//把声音输出模块集成在一起,报时和闹钟信号

assign alarm=alarm_radio||alarm_clock;

//2选一模块用于选择显示12进制小时还是24进制小时

_2to1mux mu0(hour_all,hour_12_24,hour_12,hour);

//选择显示闹钟时间还是正常计时的时间

_2to1mux mu1(led_hr,mode,set_hr,hour_all);

_2to1mux mu2(led_min,mode,set_min,minute);

_2to1mux mu3(led_sec,mode,8'h00,second);

//用于选择是显示小时还是分钟

_2to1mux mu4(led_h_min,choose_h_m_de,led_hr,led_min);

//七段译码器模块调用

decoder de2(led_h_min,decoder_h_m); //译码小时和分钟的集成

decoder de3(led_sec,decoder_s); //译码秒的计数

endmodule

2、二选一模块

//二选一模块完成模式选择

module _2to1mux(out,sel,x,y);

input [7:0]x,y; //声明模块内使用变量,用于接收小时与分钟的BCD码

input sel; //选择信号

output [7:0]out; //输出信号

assign out=sel?x:y; //用选择语句完成,为1时赋值X

Endmodule

3、四位比较器模块

//4位比较器模块

module _4bitcomparer(equ,a,b);

input [3:0]a,b; //声明模块内使用变量,用于接收待比较1位十进制数的BCD码

output equ; //输出变量,比较结果存储在此变量中,相等为1,不相等为0

assign equ=(a==b);

Endmodule

4、分频器模块

(1)、1KHZ分频到500hz,4hz,1hz模块

module divided_frequency(_1hzout,_2hzout,_500hzout,ncr,en,_1khzin);

input _1khzin,ncr,en;

output _1hzout,_2hzout,_500hzout; //分频器的结果输出,即不同频率的信号

wire[11:0] q; //用于获取分频信号的变量,在不同位上取,就可获得不同的频率信号wire en1,en2; //使能信号

//通过使用三个十进制计数器完成1000进制的计数器扩展

counter10 du0(q[3:0],ncr,en,_1khzin);

counter10 du1(q[7:4],ncr,en1,_1khzin);

counter10 du3(q[11:8],ncr,en2,_1khzin);

assign en1=(q[3:0]==4'd9);

assign en2=(q[7:4]==4'd9)&(q[3:0]==4'd9);

assign _1hzout=q[11];

assign _2hzout=q[10];

assign _500hzout=q[0]; //最低位实现二分频,位往高位移动,依次类推

Endmodule

(2)、50MHZ分频到1khz模块

module divided50mhz(_1khzin,ncr,_50mhz);

input _50mhz,ncr; //异步清零端

output reg _1khzin;

reg [15:0]q; //用于存储计数数字

always@(posedge _50mhz,negedge ncr)

begin

if(~ncr) //异步清零

begin

q<=15'd0;

_1khzin<=1'b0;

end //当计数到24999时,1khz变量翻转,因为50mhz频率是1000hz的50000倍else if(q==15'b110000110100111)

Begin //所以1khz的周期是50mhz的50000倍,24999个周期翻转一次,翻转两次构成

q<=15'd0; //一个周期,恰好满足50000倍的周期关系,也就达到分频的效果

_1khzin<=(~_1khzin);

end

else

begin

q<=q+1'b1; //正常加1

end

end

endmodule

5、十进制模块

module counter10(q,ncr,en,cp);

input cp,ncr,en;

output [3:0] q; //输出变量

reg [3:0] q;

always@(posedge cp,negedge ncr) //上升沿出发的时钟脉冲信号,下降沿出发的异步清零信号

begin

if(~ncr) q<=4'b0000; //异步清零

else if(~en) q<=q; //当使能为0时,暂停计数,保持原来的数值

else if(q==4'b1001)q<=4'b0000; //当数字到达第十个状态,也就是九时再次清零,完成一次十进制计数else q<=q+1'b1; //正常计数

end

Endmodule

6、六进制模块

//6进制计数器

module counter6(q,ncr,en,cp);

input cp,ncr,en;

output [3:0] q;

reg [3:0] q;

always@(posedge cp,negedge ncr)

begin

if(~ncr) q<=4'b0000;

else if(~en) q<=q;

else if(q==4'b0101)q<=4'b0000; //与十进制所不同的就是清零的状态不同else q<=q+1'b1;

end

Endmodule

7、二十四进制模块

//24进制计数器,用于小时计数

module counter24(cnth,cntl,ncr,en,cp);

input cp,ncr,en; //时钟脉冲,与异步清零信号和使能信号

output [3:0]cnth,cntl; //24的十位和个位的BCD码表示

reg [3:0]cnth,ctnl;

always@(posedge cp,negedge ncr)

begin

if(~ncr) {cnth,cntl}<=8'h00; //异步清零

else if(~en) {cnth,cntl}<={cnth,cntl}; //使能为01时保持原有状态

else if((cnth>2)||(cntl>9)||((cnth==2)&&(cntl>=3))){cnth,cntl}<=8'h00; //当满足十位大于2,个位大于9,整体大于23时,都属于越界的情况都需要清零

else if((cnth==2)&&(cntl<3)) //当十位为2,个位小于3时,个位正常加1

begin cnth<=cnth;cntl<=cntl_1'b1;end

else if(cntl==9) //个位为9时,需要进位,然后个位再清零

begin cnth<=cnth+1'b1;cntl<=4'b0000;end

else

begin cnth<=cnth;cntl<=cntl_1'b1;end //其他情况也是个位正常加1,十位保持原状

end

Endmodule

8、十二进制模块

module counter12(cnth,cntl,ncr,en,cp);

input cp,ncr,en;

output [3:0]cnth,cntl;

reg [3:0]cnth,cntl;

always@(posedge cp,negedge ncr)

begin

if(~ncr) {cnth,cntl}<=8'h00;

else if(~en) {cnth,cntl}<={cnth,cntl};

else if((cnth>1)||(cntl>9)||((cnth==1)&&(cntl>=1))){cnth,cntl}<=8'h00;

//与24进制所不同之处在于十位是1的时候开始复位

else if((cnth==1)&&(cntl<1))

begin cnth<=cnth;cntl<=cntl+1'b1;end

else if(cntl==9)

begin cnth<=cnth+1'b1;cntl<=4'b0000;end

else

begin cnth<=cnth;cntl<=cntl+1'b1;end

end

endmodule

9、七段译码管模块

module decoder(number,num_decoder);

input [7:0]number; //需要被译码的两位十进制数的BCD码

output reg [13:0]num_decoder; //译码输出变量,直接控制七段译码管的亮与灭always@(number,num_decoder)

begin

case(number[7:4]) //十位译码,DE0实验板上的显示管是共阳极,为0时亮4'd0:num_decoder[13:7]=7'b0000001;

4'd1:num_decoder[13:7]=7'b1001111;

4'd2:num_decoder[13:7]=7'b0010010;

4'd3:num_decoder[13:7]=7'b0000110;

4'd4:num_decoder[13:7]=7'b1001100;

4'd5:num_decoder[13:7]=7'b0100100;

4'd6:num_decoder[13:7]=7'b0100000;

4'd7:num_decoder[13:7]=7'b0001111;

4'd8:num_decoder[13:7]=7'b0000000;

4'd9:num_decoder[13:7]=7'b0000100; default:num_decoder[13:7]=7'b1111111; endcase

case(number[3:0]) //个位译码4'd0:num_decoder[6:0]=7'b0000001;

4'd1:num_decoder[6:0]=7'b1001111;

4'd2:num_decoder[6:0]=7'b0010010;

4'd3:num_decoder[6:0]=7'b0000110;

4'd4:num_decoder[6:0]=7'b1001100;

4'd5:num_decoder[6:0]=7'b0100100;

4'd6:num_decoder[6:0]=7'b0100000;

4'd7:num_decoder[6:0]=7'b0001111;

4'd8:num_decoder[6:0]=7'b0000000;

4'd9:num_decoder[6:0]=7'b0000100; default:num_decoder[6:0]=7'b1111111; endcase

end

endmodule

五、模块功能仿真

1、二选一模块

2、四位比较器模块

Sel为选择信号

当sel=1时,选择x信号,x全为1 当sel=0时,选择Y信号,y全为0

比如这一列:

a为1010

b为0101

所以a>b,则输出不想等

3、1KHz 分频器模块

此为500hz 分频结果 前面一部分使能无效,没有计数

满足周期的2倍关系

此部分是分频为2hz 的结果

由图可知

2hz 的输出的周期为1000ns 满足于1khz 的周期为2ns 的

由图可知,10进制0开始到9一共10个状

4、十进制模块

5、六进制模块

6、十二进制模块

从0到5变化,6个状

态周期变化

从0到11变化,12个状态周期变化,

个位、十位分开表示

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

通信电子线路实验报告4

大连理工大学 本科实验报告 课程名称:通信电子线路实验 学院:电子信息与电气工程学部专业:电子信息工程 班级:电子0904 学号: 200901201 学生姓名:朱娅 2011年11月20日

实验四、调幅系统实验及模拟通话系统 一、实验目的 1.掌握调幅发射机、接收机的整机结构和组成原理,建立振幅调制与 解调的系统概念。 2.掌握系统联调的方法,培养解决实际问题的能力。 3.使用调幅实验系统进行模拟语音通话实验。 二、实验内容 1.实验内容及步骤,说明每一步骤线路的连接和波形 (一)调幅发射机组成与调试 (1)通过拨码开关S2 使高频振荡器成为晶体振荡器,产生稳定的等幅高频振荡,作为载波信号。拨码开关S3 全部开路,将拨码开关S4 中“3”置于“ON”。用示波器观察高频振荡器后一级的射随器缓冲输出,调整电位器VR5,使输出幅度为0.3V左右。将其加到由MC1496 构成的调幅器的载波输入端。 波形:此时示波器上,波形为一正弦波,f=10.000MHz,Vpp=0.3V。 (2)改变跳线,将低频调制信号(板上的正弦波低频信号发生器)接至模拟乘法器调幅电路的调制信号输入端,用示波器观察J19 波形,调VR9,使低频振荡器输出正弦信号的峰-峰值Vp-p 为0.1~0.2V. 波形:此时示波器上,波形为一正弦波,f=1.6kHz,Vpp=0.2V。 (3)观察调幅器输出,应为普通调幅波。可调整VR8、VR9 和VR11,

使输出的波形为普通的调幅波(含有载波,m 约为30%)。 (4)将普通的调幅波连接到前置放大器(末前级之前的高频信号缓冲器)输入端,观察到放大后的调幅波。 波形:前置放大后的一调幅波,包络形状与调制信号相似,频率特性为载波信号频率。f?=1.6kHz,Vpp=0.8V,m≈30%。 (5)调整前置放大器的增益,使其输出幅度1Vp-p 左右的不失真调幅波,并送入下一级高频功率放大电路中。 (6)高频功率放大器部分由两级组成,第一级是甲类功放作为激励级,第二级是丙类功放。给末级丙类功放加上+12V 电源,调节VR4 使J8(JF.OUT)输出6Vp-p左右不失真的放大信号,在丙类功放的输出端,可观察到经放大后的调幅波,改变电位器VR6 可改变丙类放大器的增益,调节CT2 可以看到LC 负载回路调谐时对输出波形的影响。 波形:此时示波器上为放大后的调幅波,f?=1.6kHz,Vpp=8V,m≈30%。 (二)调幅接收机的组成与调试 从GP-4 实验箱的系统电路图可以看出调幅接收机部分采用了二次变频电路,其中频频率分别为:第一中频6.455MHz,第二中频455kHz。由于该二次变频接收机的两个本机振荡器均采用了石英晶体振荡器,其中第一本振频率16.455MHz,第二本振频率6.000MHz,也就是说本振频率不可调。这样实验箱的调幅接收机可以接收的频率就因为第一本振频率不可调而被固定下来,即该机可以接收的已调波的中心频率应该为10.000MHz(第1本振频率-第1中频频率 = 16.455MHz - 6.455MHz =

电子电路课程设计密码锁(满分实验报告)

密码锁设计报告 摘要: 本系统是由键盘和报警系统所组成的密码锁。系统完成键盘输入、开锁、超时报警、输入位数显示、错误密码报警、复位等数字密码锁的基本功能。 关键字:数字密码锁GAL16V8 28C64 解锁与报警 1

目录: 一、系统结构与技术指标 1、系统功能要求 (4) 2、性能和电气指标 (5) 3、设计条件 (5) 二、整体方案设计 1、密码设定 (6) 2、密码判断 (6) 3、密码录入和判断结果显示 (6) 4、系统工作原理框面 (7) 三、单元电路设计 1、键盘录入和编码电路图 (8) 2、地址计数和存储电路 (12) 3、密码锁存与比较电路 (12) 2

4、判决与结果显示电路 (14) 5、延时电路 (15) 6、复位 (17) 7、整机电路图 (19) 8、元件清单……………………………………………19四、程序清单 1、第一片GAL (21) 2、第二片GAL (23) 五、测试与调整 1、单元电路测试 (25) 2、整体指标测试 (26) 3、测试结果 (26) 六、设计总结 1、设计任务完成情况 (27) 2、问题及改进 (27) 3、心得体会 (28) 3

一、系统结构与技术指标 1.系统功能要求 密码锁:用数字键方式输入开锁密码,输入密码时开锁;如 果输入密码有误或者输入时间过长,则发出警报。 密码锁的系统结构框图如下图所示,其中数字键盘用于输入 密码,密码锁用于判断密码的正误,也可用于修改密码。开锁LED1亮表示输入密码正确并开锁,报警LED2亮表示密码有误或者输入时间超时。 开锁green 键盘密码锁 错误red 4

数字钟课程设计实验报告

《电子技术课程设计报告》 教学院:电气与电子信息工程学院 专业班级:xx级电子信息工程(x)班 学号:xxxxxxxxxxxx 学生:坏水 指导教师:xxxxxxxxxxxx 时间:2011.10.10~10.23 地点:电子技术实验室

课程设计成绩评定表

电子技术课程设计任务书 2011~2012学年第一学期 学生:坏水专业班级:xx电信本x班 指导教师:xxxxxxxxx 工作部门:电气与电子信息工程学院 一、课程设计题目:多功能数字钟电路的设计/直流稳压电源的设计 二、课程设计容(含技术指标): ①拟定多功能数字钟和直流稳压电源的组成框图,要现电路的基本功能,使用 的器件少,成本低; ②画出数字钟和直流稳压电源的主体电路逻辑图; ③测试多功能数字钟的逻辑功能,同时满足基本功能与扩展功能的要求; ④设计并安装各单元电路,要求布线整齐、美观,便于级联与调试;

四、基本要求 1.基本功能:要求设计出+5V的直流稳压电源。数字钟要求以数字形式显示时、分、秒的时间。小时计数器的计时要求为“12翻1”,要求具有手动校时功能。 2.扩展功能:定时控制,其时间自定;仿广播电台正点报时,自动报整点时数或触摸报整点时数(主要体现在理论知识上进行电路设计)。 (一)实训题目:直流稳压电源和多功能数字钟。 (二)实训目的: 1、巩固和加深学生对模拟电子技术,数字逻辑电路等课程基本知识的理解,综 合运用课程中所学到的理论知识去独立完成一个实际课题。 2、根据课程需要,通过查阅手册和文献资料,培养学生独立分析和解决实际问 题的能力。 3、通过电路方案的分析、论证和比较,设计计算和选用元气件,通过电路组装, 调试和检测环节,掌握电路的分析方法和设计方法。 4、熟用常用电子元气件的类型和特性,并掌握合理选用原则。 5、掌握电路图、PCB图的设计方法,学会电路的安装与调试。 6、掌握常用仪器、仪表的正确使用方法,学会电路整机指标的测试方法。(三)实训要求

中南大学通信电子线路实验报告

中南大学 《通信电子线路》实验报告 学院信息科学与工程学院 题目调制与解调实验 学号 专业班级 姓名 指导教师

实验一振幅调制器 一、实验目的: 1.掌握用集成模拟乘法器实现全载波调幅和抑止载波双边带调幅的方法。 2.研究已调波与调制信号及载波信号的关系。 3.掌握调幅系数测量与计算的方法。 4.通过实验对比全载波调幅和抑止载波双边带调幅的波形。 二、实验内容: 1.调测模拟乘法器MC1496正常工作时的静态值。 2.实现全载波调幅,改变调幅度,观察波形变化并计算调幅度。 3.实现抑止载波的双边带调幅波。 三、基本原理 幅度调制就是载波的振幅(包络)受调制信号的控制作周期性的变化。变化的周期与调制信号周期相同。即振幅变化与调制信号的振幅成正比。通常称高频信号为载波信号。本实验中载波是由晶体振荡产生的10MHZ高频信号。1KHZ的低频信号为调制信号。振幅调制器即为产生调幅信号的装置。 在本实验中采用集成模拟乘法器MC1496来完成调幅作用,图2-1为1496芯片内部电路图,它是一个四象限模拟乘法器的基本电路,电路采用了两组差动对由V1-V4组成,以反极性方式相连接,而且两组差分对的恒流源又组成一对差分电路,即V5与V6,因此恒流源的控制电压可正可负,以此实现了四象限工作。D、V7、V8为差动放大器V5与V6的恒流源。进行调幅时,载波信号加在V1-V4的输入端,即引脚的⑧、⑩之间;调制信号加在差动放大器V5、V6的输入端,即引脚的①、④之间,②、③脚外接1KΩ电位器,以扩大调制信号动态范围,已调制信号取自双差动放大器的两集电极(即引出脚⑹、⑿之间)输出。

图2-1 MC1496内部电路图 用1496集成电路构成的调幅器电路图如图2-2所示,图中VR8用来调节引出脚①、④之间的平衡,VR7用来调节⑤脚的偏置。器件采用双电源供电方式(+12V,-9V),电阻R29、R30、R31、R32、R52为器件提供静态偏置电压,保证器件内部的各个晶体管工作在放大状态。 四、实验结果 1. ZD.OUT波形: 2. TZXH波形:

西安交通大学电子线路设计实验报告

电子线路设计 实验报告 姓名: 班级:自动化 学号: 2015/12/10

PROTEL电子线路设计与仿真 一、实验目的 1、了解PROTEL电子线路设计软件的开发过程; 2、熟练使用PROTEL电子线路设计软件,会设计简单、常用的电子线路; 3、熟练掌握建立项目文件、建立原理图文件、绘制原理图、产生网络表、建立PCB 文件、绘制PCB线路图等基本技能;掌握绘制电路原理图的基本操作步骤和设计技 巧,掌握创建原理图元件的方法;理解PCB线路图参数设置的意义,掌握手动、自 动布局和布线的基本方法和设计技巧,掌握创建PCB元件的方法。 二、实验设备及编译环境 计算机一台,Protel DXP集成环境。 三、实验步骤 (1)建立项目文件 File->New design 设置工程名和存储路径后点击OK,进入下图界面。

(2)建立原理图文件 在Documents文件夹下,点击Schematic document创建原理图文件。 (3)绘制原理图 在库下有的元件直接添加到原理图中连线即可;对库中没有的元件需要自行创建,创建步骤如下: 1在Documents文件夹下,点击Schematic Library document创建原理图 库文件(Schematic library document); 2绘制元件边框和引脚,设置引脚名称和编号,然后添加至原理图中。 绘制元件8563 U2如图:

绘制好原理图后点击Tools->ERC检查无错误 绘制好的原理图如下: 最后对每个元件设置一个封装(Footprint): 电容C1,C2 二极管D7,D8

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

单片机电子时钟课程设计实验报告

单片机电子时钟课程设 计实验报告 Pleasure Group Office【T985AB-B866SYT-B182C-BS682T-STT18】

《单片机原理与应用》课程设计 总结报告 题目:单片机电子时钟(带秒表)的设计 设计人员:张保江江润洲 学号: 班级:自动化1211 指导老师:阮海容 目录 1.题目与主要功能要求 (2) 2.整体设计框图及整机概述 (3) 3.各硬件单元电路的设计、参数分析及原理说明 (3) 4.软件流程图和流程说明 (4) 5.总结设计及调试的体会 (10) 附录 1.图一:系统电路原理图 (11) 2.图二:系统电路 PCB (12) 3.表一:元器件清单 (13) 4.时钟程序源码 (14)

题目:单片机电子时钟的设计与实现 课程设计的目的和意义 课程设计的目的与意义在于让我们将理论与实践相结合。培养我们综合运用电子课程中的理论知识解决实际性问题的能力。让我们对电子电路、电子元器件、印制电路板等方面的知识进一步加深认识,同时在软件编程、排错调试、焊接技术、相关仪器设备的使用技能等方面得到较全面的锻炼和提高,为今后能够独立完成某些单片机应用系统的开发和设计打下一个坚实的基础。 课程设计的基本任务 利用89C51单片机最小系统,综合应用单片机定时器、中断、数码显示、键盘输入等知识,设计一款单片机和简单外设控制的电子时钟。 主要功能要求 最基本要求 1)使用MCS-51单片机设计一个时钟。要求具有6位LED显示、3个按键输入。 2)完成硬件实物制作或使用Pruteus仿真(注意位驱动应能提供足够的电流)。 3)6位LED数码管从左到右分别显示时、分、秒(各占用2位),采用24小时标准计时制。开始计时时为000000,到235959后又变成000000。 4)使用3个键分别作为小时、分、秒的调校键。每按一次键,对应的显示值便加1。分、秒加到59后再按键即变为00;小时加到23后再按键即变为00。在调校时均不向上一单位进位 (例如分加到59后变为00,但小时不发生改变)。 5) 软件设计必须使用MCS-51片内定时器,采用定时中断结构,不得使用软件延时法,也不得使用其他时钟芯片。 6)设计八段数码管显示电路并编写驱动程序,输入并调试拆字程序和数码显示程序。7)掌握硬件和软件联合调试的方法。 8)完成系统硬件电路的设计和制作。 9)完成系统程序的设计。 10)完成整个系统的设计、调试和制作。

通信电子线路Multisim仿真实验报告

通信电子线路实验报告Multisim调制电路仿真

目录 一、综述 .......................... 错误!未定义书签。 二、实验内容 ...................... 错误!未定义书签。 1.常规调幅AM ................... 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 (3)结论: ...................... 错误!未定义书签。 2.双边带调制DSB ................ 错误!未定义书签。 (1)基本理论.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 3.单边带调制SSB ................ 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 4.调频电路FM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图 ........ 错误!未定义书签。 5.调相电路PM ................... 错误!未定义书签。 (1)工作原理.................... 错误!未定义书签。 (2)Multisim电路仿真图............ 错误!未定义书签。 三、实验感想 ...................... 错误!未定义书签。

电子线路CAD实验报告1

电子线路CAD实验报告 实验序号:1 实验名称:Altium Designer 基本操作实验日期:15.3.6 专业班级:13电信姓名:陈学颖成绩:__________ 一、实验目的: 了解AD 软件绘图环境,各个功能模块的作用,各个功能模块的作用,设置原理图 图纸环境的方法及元器件放置方法,灵活掌握相关工具和快捷方式的使用。 二、实验内容: 1,熟悉软件的设计环境参数:常规参数、外观参数、透明效果、备份选项、项目面板 设置。 2,学习使用键盘和菜单实现图纸的放大或缩小。 3,创建一个新的PCB 项目,项目名为姓名.PrjPCB。 4,打开一个例子文件,观察统一的设计环境,进行标签的分类。 5,在上述工程中创建新文件,命名为实验1.sch.设置图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。 6,栅格设置:捕捉栅格为5mil,可视栅格为8mil。 7,字体设置:设置系统字体Tahoma、字号为8,带下划线。 8,标题栏设置:用特殊字符串设置制图者为Motorala、标题为“我的设计”,字体为华文彩云,颜色为221 号色。 9,新建原理图文件,命名为“模板.schdoc”,设计其标题栏,包括班级、姓名、学号。三.实验操作 1.在最上方菜单中选择文件—新建—PCB工程,然后新建一个PCB项目,然后将其保存为陈学颖.PrjPCB。 2.然后在最上方菜单中选择文件—新建—原理图,然后将其命名为实验1.sch。然后在原理图工具区单击鼠标右键,在选项中选择文档选项,将其设置为图纸大小为A4,水平放置,工作区颜色为233 号色,边框颜色为63 号色。同时将捕捉栅格设置为5mil,可视栅格设置为8mil。然后选择“更改系统字体”中设置系统字体为Tahoma、字号为8,带下划线。

电子线路课程设计am调幅发射机设计报告

电子线路课程设计 总结报告 学生姓名: 可行性,选择适合设计方案,并对设计方案进行必要的论证。本课题以小功率调幅发射机为设计对象,并对其主振级、低频电压放大级、调制级、高频功率放大级进行了详细的设计、论证、调试及仿真,并进行了整机的调试与仿真。设计具体包括以下几个步骤:一般性理论设计、具体电路的选择、根据指标选定合适器件并计算详细的器件参数、用multisim进行设计的仿真、根据仿真结果检验设计指标并进行调整。最后对整个设计出现的问题,和心得体会进行总结。 关键词调幅发射机;振荡器;multisim仿真设计

一、设计内容及要求 (一)设计内容:小功率调幅AM发射机设计 1.确定小功率调幅发射机的设计方案,根据设计指标对既定方案进行理论设计分析, 并给出各单元电路的理论设计方法和实用电路设计细节,其中包括元器件的具体选择、参数调整。 根据设计要求,要求工作频率为10MHz,输出功率为1W,单音调幅系数 m。由于载波频率为10Mhz,大多数振荡器皆可满足,提供了较多的选择且不需要 8.0 = a 倍频。由于输出功率小,因此总体电路具有结构简单,体积较小的特点。其总体电路结构 可分为主振荡电路(载波振荡电路)、缓冲隔离电路、音频放大电路、振幅调制电路、功

(二)单元电路方案论证 1.主振荡电路 主振荡电路是调幅发射机的核心部件,载波的频率稳定度和波形的稳定度直接影响到发射信号的质量,因此,主振荡电路产生的载波信号必须有较高的频率稳定度和较小的波形失真度,主振荡电路可以有四种设计方案:RC正弦波振荡电路、石英晶体振荡电路、三点振荡电路、改进三点式(克拉泼)振荡电路。 2.振幅调制电路 振幅调制电路是小信号调幅发射机的核心组成部分,该单元实现将音频信号加载到载波上以调幅波形式发送出去,振幅调制电路要能保证输出的信号为载波信号的振幅随调制信号线性变化。

通信电子线路实物实验报告

东南大学电工电子实验中心 实验报告 课程名称:电子电路与综合实验 第一次实物实验 院(系):信息科学与工程学院专业:信息工程姓名:陈金炜学号:04013130 实验室:高频实验室实验组别: 同组人员:陈秦郭子衡邹俊昊实验时间:2015年11月21日评定成绩:审阅教师:

实验一常用仪器使用 一、实验目的 1. 通过实验掌握常用示波器、信号源和频谱仪等仪器的使用,并理解常用仪器的基本工作 原理; 2.通过实验掌握振幅调制、频率调制的基本概念。 二、实验仪器 示波器(带宽大于 100MHz) 1台 万用表 1台 双路直流稳压电源 1台 信号发生器 1台 频谱仪 1台 多功能实验箱 1 套 多功能智能测试仪1 台 三、实验内容 1、说明频谱仪的主要工作原理,示波器测量精度与示波器带宽、与被测信号频率之间关系。 答: (1)频谱仪结构框图为: 频谱仪的主要工作原理: ①对信号进行时域的采集,对其进行傅里叶变换,将其转换成频域信号。这种方法对于AD 要求很高,但还是难以分析高频信号。

②通过直接接收,称为超外差接收直接扫描调谐分析仪。即:信号通过混频器与本振混频后得到中频,采用固定中频的办法,并使本振在信号可能的频谱范围内变化。得到中频后进行滤波和检波,就可以获取信号中某一频率分量的大小。 (2)示波器的测量精度与示波器带宽、被测信号频率之间的关系: 示波器的带宽越宽,在通带内的衰减就越缓慢; 示波器带宽越宽,被测信号频率离示波器通带截止频率点就越远,则测得的数据精度约高。 2、画出示波器测量电源上电时间示意图,说明示波器可以捕获电源上电上升时间的工作原理。 答: 上电时间示意图: 工作原理: 捕获这个过程需要示波器采样周期小于过渡时间。示波器探头与电源相连,使示波器工作于“正常”触发方式,接通电源后,便有电信号进入示波器,由于示波器为“正常”触发方式,所以在屏幕上会显示出电势波形;并且当上电完成后,由于没有触发信号,示波器将不再显示此信号。这样,就可以利用游标读出电源上电的上升时间。 3、简要说明在FM 调制过程中,调制信号的幅度与频率信息是如何加到FM 波中的? 答: 载波的瞬时角频率为()()c f t k u t ωωΩ=+,(其中f k 为与电路有关的调频比例常数) 已调的瞬时相角为00 t ()()t t c f t dt t k u t dt θωωθΩ =++? ?()= 所以FM 已调波的表达式为:000 ()cos[()]t om c f u t U t k u t dt ωθΩ =++? 当()cos m u t U t ΩΩ=Ω时,00()cos[sin ]om c f u t U t M t ωθ=+Ω+ 其中f M 为调制指数其值与调制信号的幅度m U Ω成正比,与调制信号的角频率Ω反比,即 m f f U M k Ω=Ω 。这样,调制信号的幅度与频率信息是已加到 FM 波中。

电子线路设计与制作实验报告

电子线路设计与制作 实验报告 班级:电信12305班 指导老师:朱婷 小组成员:张壮安剑锋罗杰杨康熊施任务分工:1.张壮实验报告的撰写 2.安剑锋检查元件及整理 3.罗杰电路的焊接 4.杨康元器件的保管及测试 5.熊施协助电路的焊接 2014年11月14日

项目一:红外线电路设计 一、电路工作原理 常用的红外线遥控系统一般分发射和接收两个部分。发射部分的主要元件为红外发光二极管。它实际上是一直特殊的发光二极管,由于其内部材料不同于普通发光二极管,因而在其两端施加一定电压时,它便发出的红外线而不会死可见光。 接收部分的红外接收管是一种光敏二极管。在实际应用中要给红外线接收二极管加反向偏压,它才能正常工作,亦即红外线接收二极管在电路中应用时是反向运用,这样才能获得较高的灵敏度。红外线二极管一般有圆形和方形两种。 二、电路原理图设计

课题名称元件数量备注 红外线发射——接收模拟 电路红外线发射管 1 红外线接收管 1 发光二极管 1 运放uA741 1 20K可调电位器 1 100Ω电阻 1 10kΩ电阻 1 330Ω电阻 1 元件清单表 三、电路设计与调试 (1)各小组从指导老师那里领取元器件,分工检测元器件的性能。(2)依据电路原理图,各小组讨论如何布局,最后确定一最佳方案在洞洞板上搭建红外线发射\接收电路图。 (3)检查电路无误后,从信号发生器送入适应电压。 (4)调节可调电阻R3的阻值,观察发光二极管LED是否出现闪烁现象,如果出现说明有发射和接收,如果没有检查电路。(5)实验完毕,记录结果,并写实验报告。

四、实验注意事项 (1)发光二极管的电流不能天大(小于200mA);(2)在通电前必须检查电路无误后才可; (3)信号发生器的输出电压峰峰值1.5~2.5V。 项目二:定时电路的设计一、电路原理图与工作原理

电子线路课程设计报告

石英晶体好坏检测电路设计 设计要求 1. 利用高频电子线路及其先修课程模拟电路的知识设计一个电子线路2.利用该电子线路的要求是要求能够检测石英晶体的好坏 3. 要求设计的该电子线路能够进行仿真 4. 从仿真的结果能够直接判断出该石英晶体的好坏 5. 能够理解该电子线路检测的原理 6. 能够了解该电子线路的应用 成果简介设计的该电子线路能够检测不同频率石英晶体的好坏。当有该石英晶体(又称晶振)的时候,在输出端接上一个示波器能够有正弦波形输出,而当没有 该晶振的时候,输出的是直流,波形是一条直线。所以利用该电路可以在使 用晶振之前对其进行检测。 报告正文 (1)引言: 在高频电子线路中,石英晶体谐振器(也称石英振子)是一个重要的高频部件,它广泛应用于频率稳定性高的振荡器中,也用作高性能的窄带滤波 器和鉴频器。其中石英晶体振荡器就是利用石英晶体谐振器作滤波元件构成 的振荡器,其振荡频率由石英晶体谐振器决定。与LC谐振回路相比,石英晶 体谐振器有很高的标准性,采用品质因数,因此石英晶体振荡器具有较高的 频率稳定度,采用高精度和稳频措施后,石英晶体振荡器可以达到很高的频 率稳定度。正是因为石英晶体谐振器的这一广泛的应用和重要性,所以在选 择石英晶体谐振器的时候,应该选择质量好的。在选择的时候要对该晶振检 测才能够知道它的好坏,所以要设计一个检测石英晶体好坏的电路。 (2)设计内容: 设计该电路的原理如下:

如下图所示,BX为待测石英晶体(又名晶振),插入插座X1、X2,按下按钮SB,如果BX是好的,则由三极管VT1、电容器C1、C2等构成的振荡器工作,振荡信号从VT1发射极输出,经C3耦合到VD2进行检波、C4滤波,变成直流信号电压,送至VT2基极,使VT2导通,发光二极管H发光,指示被测石英晶体是好的。若H不亮,则表明石英晶体是坏的。适当改变C1、C2的容值,即可用于测试不同频率的石英晶体。 图一石英晶体好坏检测电路检测原理图 在上面的电路中,晶振等效于电感的功能,与C1和C2构成电容三点式振荡电路,振荡频率主要由C1、C2和C3以及晶振构成的回路决定。即由晶振电 抗X e 与外部电容相等的条件决定,设外部电容为C L ,则=0,其中C l 是C1、 C2和C3的串联值。 (3)电路调试过程: 首先是电路的仿真过程,该电路的仿真是在EWB软件下进行的,下面是将原图画到该软件后的截图:

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

通信电子线路实验报告三点式振荡

通信电了线路课程设计 课程名称通信电子线路课程设计_________________ 专业___________________ 通信工程 ______________________ 班级___________________________________________ 学号___________________________________________ 姓名___________________________________________

指导教师________________________________________ 、八 刖 现代通信的主要任务就是迅速而准确的传输信息。随着通信技术的日益发展,组成通信系统的电子线路不断更新,其应用十分广泛。实现通信的方式和手段很多,通信电子线路主要利用电磁波传递信息的无线通信系统。 在本课程设计中,着眼于无线电通信的基础电路一一LC正弦振荡器的分析和研究。常用正弦波振荡器主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成,这就是反馈振荡器。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。其中LC振荡器和晶体振荡器用于产生高频正弦波。正反馈放大器既可以由晶体管、场效应管等分立器件组成,也可由集成电路组成。LC振荡器中除了有互感耦合反馈型振荡器之外,其最基本的就是三端式(又称三点式)的振荡器。而三点式的振荡器中又有电容三点式振荡器和电感三点式振荡器这两种基本类型。 反馈振荡器是一种常用的正弦波振荡器,主要由决定振荡频率的选频网络和维持振荡的正反馈放大器组成。按照选频网络所采用元件的不同,正弦波振荡器可分为LC振荡器、RC振荡器和晶体振荡器等类型。本文介绍了高频电感三点式振荡器电路的原理及设计,电感三点式易起振,调整频率方便,可以通过改变电容调整频率而不影响反馈系数。正弦波振荡器在各种电子设备中有着广泛的应用。根据所产生的波形不同,可将振荡器分成正弦波振荡器和非正弦波振荡器两大类。前者能产生正弦波,后者能产生矩形波、三角波、锯齿波等。 在此次的通信电子线路课程设计中,我选做的是电感三点式振荡设计,通过为时一周的上机实验,我学到了很多书本之外的知识,在老师的指导下达到实验设计的要求指

通信电子线路实验报告解析

LC与晶体振荡器 实验报告 班别:信息xxx班 组员: 指导老师:xxx

一、实验目的 1)、了解电容三点式振荡器和晶体振荡器的基本电路及其工作原理。 2)、比较静态工作点和动态工作点,了解工作点对振荡波形的影响。 3)、测量振荡器的反馈系数、波段复盖系数、频率稳定度等参数。 4)、比较LC 与晶体振荡器的频率稳定度。 二、实验预习要求 实验前,预习教材:“电子线路非线性部分”第3章:正弦波振荡器;“高频电子线路”第四章:正弦波振荡器的有关章节。 三、实验原理说明 三点式振荡器包括电感三点式振荡器(哈脱莱振荡器)和电容三点式振荡器(考毕兹振荡器),其交流等效电路如图1-1。 1、起振条件 1)、相位平衡条件:X ce 和X be 必 需为同性质的电抗,X cb 必需为异性质 的电抗,且它们之间满足下列关系: 2)、幅度起振条件: 图1-1 三点式振荡器 式中:q m ——晶体管的跨导, F U ——反馈系数, A U ——放大器的增益, LC X X X X Xc o C L ce be 1 |||| )(= -=+-=ω,即)(Au 1 * 'ie L oe m q q q Fu q ++ >

q ie——晶体管的输入电导, q oe——晶体管的输出电导, q'L——晶体管的等效负载电导, F U一般在0.1~0.5之间取值。 2、电容三点式振荡器 1)、电容反馈三点式电路——考毕兹振荡器 图1-2是基本的三点式电路,其缺点是晶体管的输入电容C i和输出电容Co对频率稳定度的影响较大,且频率不可调。 L1L1 (a)考毕兹振荡器(b)交流等效电路 图1-2 考毕兹振荡器 2)、串联改进型电容反馈三点式电路——克拉泼振荡器 电路如图1-3所示,其特点是在L支路中串入一个可调的小电容C3,并加大C1和C2的容量,振荡频率主要由C3和L决定。C1和C2主要起电容分压反馈作用,从而大大减小了C i和C o对频率稳定度的影响,且使频率可调。

电子线路CADI课程设计报告

电子线路CADI 课程设计报告 电子11-1班 陈小明 1105110109 一、设计目的: 1、掌握专业基础知识的综合应用能力。 2、通过Mutisim 软件,掌握电子电路局部电路的设计、调试、仿真及分析能力。 3、完成设计电路的原理设计、仿真分析、故障排除。 4、逐步建立电子系统的研发、设计能力,为毕业设计打好基础。 二、设计虚拟仪器及器件 虚拟示波器、信号发生器、数字万用表、集成放大器等 三、设计原理及内容 (一)、设计题 1、函数发生电路 应用模拟集成乘法器与集成运算放大器,设计函数发生电路。函数形式为:运算电路实现2 i i i o cu bu dt u a u ++=? 。用积分运算电路和反响比例运算电路实现Uo1=?1 RC ∫μi dt ,运用同相比例运算电路实现Uo2=(1+Rf R )μi ,运用乘方运算电路实现Uo3=k μi 2,最后用同向求 和运算电路实现Uo=Uo1+Uo2+Uo3。

2、方波电路。 由迟滞比较器和RC电路组成,RC回路作为延迟环节和反馈网路。由于电路中二极管D1、D2的单向导电性,使电容C的充放电回路分开,调节电位器,就可以调节多谐振荡器 ≈的占空比。通过改变Rw1的大小来使电容正反向充电常数进而改变占空比,公式为q=T1 T Rw1+R3 Rw+2R3

(二)、指定电路分析题 1、大范围可变占空比方波产生电路 555定时器用作延时控制。电路中二极管D1、D2的单向导电性,使电容C的充放电回

路分开,调节电位器,可以调节多谐振荡器的占空比。 2、两级放大电路原理图 该电路为共发射极电路,阻容耦合式两级基本放大电路。输入信号经前级放大后作为后级的输入再经后级放大电路放大,总放大倍数为前后级放大倍数的乘积。C3使各级的静态工

数字时钟设计实验报告

电子课程设计 题目:数字时钟

数字时钟设计实验报告 一、设计要求: 设计一个24小时制的数字时钟。 要求:计时、显示精度到秒;有校时功能。采用中小规模集成电路设计。 发挥:增加闹钟功能。 二、设计方案: 由秒时钟信号发生器、计时电路与校时电路构成电路。 秒时钟信号发生器可由振荡器与分频器构成。 计时电路中采用两个60进制计数器分别完成秒计时与分计时;24进制计数器完成时计时;采用译码器将计数器的输出译码后送七段数码管显示。 校时电路采用开关控制时、分、秒计数器的时钟信号为校时脉冲以完成校时。 三、电路框图: 图一 数字时钟电路框图 四、电路原理图: (一)秒脉冲信号发生器 秒脉冲信号发生器就是数字电子钟的核心部分,它的精度与稳定度决定了数字钟的质量。由振荡器与分频器组合产生秒脉冲信号。 ? 振荡器: 通常用555定时器与RC 构成的多谐振荡器,经过调整输出1000Hz 脉冲。 ? 分频器: 分频器功能主要有两个,一就是产生标准秒脉冲信号,一就是提供功能 扩展电路所需要的信号,选用三片74LS290进行级联,因为每片为1/10分频器,三片级联好获得1Hz 标准秒脉冲。其电路图如下: 译码器 译码器 译码器 时计数器 (24进制) 分计数器 (60进制) 秒计数器 (60进制) 校 时 电 路 秒信号发生器

图二秒脉冲信号发生器 (二)秒、分、时计时器电路设计 秒、分计数器为60进制计数器,小时计数器为24进制计数器。 ?60进制——秒计数器 秒的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0增加到9时产生进位,连在十位部计数器脉冲输入端CP,从而实现10进制计数与进位功能。利用74LS161与74LS11设计6进制计数器显示秒的十位 ,当十位计数器由0增加到5时利用74LS11与门产生一个高电平接到个位、十位的CD40110的清零端,同时产生一个脉冲给分的个位。其电路图如下: 图三60进制--秒计数电路 ?60进制——分计数电路 分的个位部分为逢十进一,十位部分为逢六进一,从而共同完成60进制计数器。当计数到59时清零并重新开始计数。秒的个位部分的设计:来自秒计数电路的进位脉冲使分的个位加1,利用十进制计数器CD40110设计10进制计数器显示秒的个位。个位计数器由0

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

相关主题
文本预览
相关文档 最新文档