当前位置:文档之家› verilog秒表实验报告

verilog秒表实验报告

verilog秒表实验报告
verilog秒表实验报告

一,实验原理

二,各模块程序与仿真结果图。

1,分频器,

将晶振的48MHz频率分频为电子秒表的最小分辨频率,周期0.01S。同时在译码部分需要一个1KHz的扫描信号,所以分频器的功能就是产生一个100Hz信号和1kHz信号。

源代码如下:

module divclk(clk,clk_100,clk_1k);

input clk;

output reg clk_100,clk_1k;

reg[29:0]counter1,counter2;

initial begin counter1<=0;counter2<=0;clk_100<=0;clk_1k<=0;end

always@(posedge clk)

begin

if(counter1==240000)begin counter1<=0;clk_100<=~clk_100;end

else begin counter1<=counter1+1;end

if(counter2==24000)begin counter2<=0;clk_1k<=~clk_1k;end

else begin counter2<=counter2+1;end

end

endmodule

仿真结果

2,计数器

秒表计数器和一般计数器不同的是进制,在0.01s__0.99s时,都是十进制的,而到了秒和分的时候,十位秒和个位秒是十进制,秒和分之间则为六十进制所以在进位时注意区分。

秒表有两个按键,一个复位按键reset,一个run/stop按键,reset按键比较好处理,因为是点触式,可以检测其下降沿部位(低电平有效),当硬件检测到,说明是有按键按下。而run/stop按键则为,按下一次这个键,秒表run和stop切换一次可定义一个信号寄存器,在检测到这个按键按下时,自身在0和1之间翻转一次,1表示run,0表示stop。我们知道,在按键按下一次时会有如下的毛刺信号,这个毛刺信号持续时间虽然只有1-3ms,但是这对于

硬件来说,还是很长的,最关键的是,会产生很多个下降沿和电平触发。所以必须对其进行处理,否则在按键按下一次后,run/stop会反转多次。消抖方法分为硬件消抖和软件延时消抖。在FPGA中可以定义三个D触发器,进行硬件3ms消抖(时间可以根据实际情况而定),

消抖原理

always@(posedge clk_1k)//以1kHz扫描信号,进行定时

begin

dout1<=reset;

dout2<=dout1;

dout3<=dout2;

clr<=(dout1|dout2|dout3);

end

在三个每隔1ms的点上取三个值,如果这三个值都是低电平,则说明下降沿来了。

电路模块

源程序代码

module counter(clk_100,clk_1k,reset,key,cnte0,cnte1,cnte2,cnte3,cnte4,cnte5); input clk_100,clk_1k,reset,key;

output reg[3:0]cnte0,cnte1,cnte2,cnte3,cnte4,cnte5;

reg key_out,run;

reg clr,dout1,dout2,dout3,do1,do2,do3;

initial

begin

cnte0<=0;cnte1<=0;cnte2<=0;cnte3<=0;cnte4<=0;cnte5<=0;run<=1;

clr<=1;dout1<=1;dout2<=1;dout3<=1;do1<=1;do2<=1;do3<=1;key_out<=0;

end

always@(posedge clk_1k)

begin

dout1<=reset;do1<=key;

dout2<=dout1;do2<=do1;

dout3<=dout2;do3<=do2;

end

always@(negedge clk_1k)

begin clr<=(dout1|dout2|dout3|run);//这里可以保证在秒表计时过程中不被清零key_out<=(do1|do2|do3);

end

always@(posedge key_out)

begin run=~run;end

always@(posedge clk_100)

begin

if(!clr)begin cnte0<=0;cnte1<=0;cnte2<=0;

cnte3<=0;cnte4<=0;cnte5<=0;end

else

begin if(run)

begin

if((cnte5==5)&&(cnte4==9)&&(cnte3==5)&&(cnte2==9)&&(cnte1==9)&&(cnte0==9))

begin cnte0<=0;cnte1<=0;cnte2<=0;cnte3<=0;cnte4<=0;cnte5<=0;end

else begin if((cnte4==9)&&(cnte3==5)&&(cnte2==9)&&(cnte1==9)&&(cnte0==9))

begin cnte0<=0;cnte1<=0;cnte2<=0;cnte3<=0;cnte4<=0;cnte5<=1+cnte5;end

else begin if((cnte3==5)&&(cnte2==9)&&(cnte1==9)&&(cnte0==9))

begin cnte0<=0;cnte1<=0;cnte2<=0;cnte3<=0;cnte4<=1+cnte4;cnte5<=cnte5;end else begin if((cnte2==9)&&(cnte1==9)&&(cnte0==9))

begin cnte0<=0;cnte1<=0;cnte2<=0;cnte3<=1+cnte3;cnte4<=cnte4;

cnte5<=cnte5;end

else begin if((cnte1==9)&&(cnte0==9))

begin cnte0<=0;cnte1<=0;cnte2<=1+cnte2;cnte3<=cnte3;cnte4<=cnte4;

cnte5<=cnte5;end

else begin if((cnte0==9))

begin cnte0<=0;cnte1<=1+cnte1;cnte2<=cnte2;cnte3<=cnte3;cnte4<=cnte4;

cnte5<=cnte5;end

else begin cnte0<=1+cnte0;cnte1<=cnte1;cnte2<=cnte2;cnte3<=cnte3;cnte4<=cnte4; cnte5<=cnte5;

end end end end end end end end end

endmodule

仿真结果图

译码器

3.3.译码器

将计数器产生的十进制数翻译为数码管显示的七段码,并且分与秒以及0.01秒间加一杠区别

module decode(dig,ena,seg,clk_1k,cnte0,cnte1,cnte2,cnte3,cnte4,cnte5);

input clk_1k;

input[3:0]cnte0,cnte1,cnte2,cnte3,cnte4,cnte5;

output reg[7:0]seg;

output reg[2:0]dig;

output reg ena;

reg[3:0]data;

initial

begin

seg<=0;data<=0;dig<=0;ena<=0;

end

always@(posedge clk_1k)

begin dig=dig+3'b001;end

always@(negedge clk_1k)

begin case(dig)

3'b111:data<=cnte0;

3'b000:data<=cnte1;

3'b010:data<=cnte2;

3'b011:data<=cnte3;

3'b101:data<=cnte4;

3'b110:data<=cnte5;

default:data<=4'b1111;

endcase

end

always@(posedge clk_1k)

begin

case(data)

4'b0000:seg<=8'b11000000;//040

4'b0001:seg<=8'b11111001;//179

4'b0010:seg<=8'b10100100;//224

4'b0011:seg<=8'b10110000;//330

4'b0100:seg<=8'b10011001;//419

4'b0101:seg<=8'b10010010;//512

4'b0110:seg<=8'b10000010;//602

4'b0111:seg<=8'b11111000;//778

4'b1000:seg<=8'b10000000;//800

4'b1001:seg<=8'b10010000;//9

4'b1111:seg<=8'b10111111;//no numeber default:seg<=8'b11111111;

endcase

end

endmodule

仿真结果图

顶层模块

4.4.顶层模块

将三个模块级联在一起。

源代码

module top(clk,seg,ena,reset,key,dig);

input clk,key,reset;

output ena;

output[7:0]seg;

output[2:0]dig;

wire clk_100,clk_1k;

wire[3:0]cnte0,cnte1,cnte2,cnte3,cnte4,cnte5; divclk U1(

.clk(clk),

.clk_100(clk_100),

.clk_1k(clk_1k));

counter U2(

.clk_100(clk_100),

.clk_1k(clk_1k),

.reset(reset),

.key(key),

.cnte0(cnte0),

.cnte1(cnte1),

.cnte2(cnte2),

.cnte3(cnte3),

.cnte4(cnte4),

.cnte5(cnte5));

decode U3(

.dig(dig),

.ena(ena),

.seg(seg),

.clk_1k(clk_1k),

.cnte0(cnte0),

.cnte1(cnte1),

.cnte2(cnte2),

.cnte3(cnte3),

.cnte4(cnte4),

.cnte5(cnte5)

);

endmodule

三,整体结果仿真与分析

从上仿真图看出结果符合实际,但这也只是仿真,不代表实际。特别是消抖模块。仿真不出。

四,测试结果与实际结果分析

将写好的程序导入FPGA板子上,用自己的手表和设计好的秒表进行计时对比,查看性能。

一下是几个计时对比结果,

试验次数自带手表计数编程秒表

102'23"0402'22'45

20'56"230'56"18

310'27"4510'23"12

结果分析:从以上结果可以看到,越往后计时,误差越大,说明除了人为操作造成的不准确外,编写的程序确实还是有点问题。

另一个就是在消抖的时候,仍会出现反转多次的情况,只是比较少而已,比把消抖部分去掉,好很多。在消抖延时的时候,可以尽量用更高频率以及更多采样点。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

“秒表测时”实验报告Word版

“秒表测时”实验报告 一、实验任务 利用秒表对电脑主机主要元件装配作业进行测时,计算标准时间 二、实验目的 1、掌握秒表测时技术; 2、掌握标准时间的制定原理、方法、程序和步骤; 3、学会正确划分各测时单元及其计时点,并学会确定正确的宽放率; 4、掌握必要的软件工具。 三、实验原理 1、秒表测时的定义 2、秒表测时的用途 3、测时单元的划分 四、实验设备、仪器、工具及资料 1、电脑主机 2、计算机 3、装拆工具、笔、纸、记录表格 4、秒表、计算器 五、实验过程 1、实验分组,每四人一组,两人负责装配产品,两人负责观测记录 2、收集资料,实验准备,布置工作地 3、划分操作单元,确定计时点 4、测时 采用连续法记录时间研究,在现场记录时用铅笔填写秒表读数“W.R”,见附件:时间研究表(一)。计算基本时间“B.T”。 4、填写时间研究表(二),剔除异常值,用三倍标准法决定正常值范围(正常值范围在x±3σ内)。 5、决定宽放时间 取宽放率为:15%。宽放时间=正常时间×宽放率

6、计算标准时间:标准时间=平均操作时间×评比系数+宽放时间 六、整理时间研究表(一)和时间研究表(二) 时间研究表(一)(现场记录)

时间研究表(二)(统计表)

七、绘制管制界限图 对每一个操作单元进行异常值剔除,选取其中一个操作单元绘制其管制界限图 1、剔除异常值 (1)、操作单元1: n X X n i i ∑== 1 11(其中n=8) 计算得69.71=X n X X n i i ∑=-= 1 2 111)(σ 计算得=1σ 1.48 正常值为σ3±X 之内,即在(3.25,12.13)之间,所以操作单元1无异常值 (2)、操作单元2: n X X n i i ∑== 1 22(其中n=8) 计算得=2X 6.79 n X X n i i ∑=-= 1 2 222)(σ 计算得=2σ0.28 正常值为σ3±X 之内,即在(5.95,7.63)之间,所以操作单元2无异常值 (3)、操作单元3: n X X n i i ∑== 1 33(其中n=8) 计算得=3X 6.42 n X X n i i ∑=-= 1 2 333)(σ 计算得=3σ0.32 正常值为σ3±X 之内,即在(5.46,7.38)之间,所以操作单元3无异常值 (4)、操作单元4: n X X n i i ∑== 1 44(其中n=8) 计算得=4X 6.55 n X X n i i ∑=-= 1 2 444)(σ 计算得=4σ0.90

数字式秒表实验报告

数字式秒表实验报告 摘要 本次设计任务是设计一个数字式秒表 经查阅资料后我把实验分为1.脉冲产生部分。2.电路控制部分。3.计数部分4.译码部分。5显示部分。 脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。 使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。 实验要求 1.秒表最大计时值为99分59.99秒; 2. 6位数码管显示,分辨率为0.01秒; 3 .具有清零,启动计时,暂停及继续计数等控制功能; 4.控制操作间不超过二个。 实验分析 数字式秒表,所以必须有一个数字显示。按设计要求,须用七段数码管来做显示器。题目要求最大记数值为99,59,99,那则需要六个数码管。要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。 选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。 数字式秒表是一个频率(100HZ)进行计数的计数电路。由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。数字电子钟的总体图如图所示。由图可见,

数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等 七段显示器 译码器译码器译码器 100进制计数器 60进制计数器 100进制计数器 控制开关 555多谐振器 1. 555构成的多谐振荡器 555构成的多谐振荡器电路图

简易秒表设计实验报告

实 验 报 告 系别 信工系 专业 班级 姓名 学号 简易秒表设计 实验目的: 1、 熟悉Keil C51软件的使用方法及proteus 仿真软件的使用; 2、 综合运用所学的理论知识(数码管、按键),通过实践加强对所学知识的理解,具备设计单片机应用系统的能力。 3、 通过本次试验,增强自己的动手能力。认识单片机在日常生活中的应用的广泛性,实用性。 设计要求: 制作简易秒表,用三个按键分别实现秒表的启动、停止与复位,利用两位共阴级的数码管显示时间。 设计思路: 硬件设计:数码管部分采用2位共阴极的数码管,在P0口接上拉电阻,公共端低电平扫描。按键电路部分,将按键一侧与单片机任一I/O 口相连。 软件设计:模块化思想,使用定时器T0的工作方式1,编写显示子程序,延时子程序,初始化程序,主程序设计时注意按键消抖。 原理图: XTAL218XTAL119ALE 30EA 31PSEN 29RST 9P0.0/AD0 39P0.1/AD1 38P0.2/AD2 37P0.3/AD3 36P0.4/AD4 35P0.5/AD5 34P0.6/AD6 33P0.7/AD7 32P1.01P1.12P1.23P1.34P1.45P1.56P1.6 7P1.7 8P3.0/RXD 10P3.1/TXD 11P3.2/INT0 12P3.3/INT1 13P3.4/T0 14P3.7/RD 17P3.6/WR 16P3.5/T1 15P2.7/A15 28P2.0/A8 21P2.1/A9 22P2.2/A10 23P2.3/A11 24P2.4/A12 25P2.5/A13 26P2.6/A14 27U1AT89C51C1 1nF C21nF R110k C31uF 234567891 RP1 RESPACK-8 源代码: #include<>

秒表实验报告

实验三:秒表实验报告 一、实验目的 1、了解74ls273的工作原理; 2、看懂8086工作的时序图,并且掌握8086总线的技术; 3. 通过此实验,熟悉protues7.9的基本操作和工作环境以及MASM32文件的建立与运行; 二、实验原理 用74ls273扩展IO口,通过片选信号和写信号奖数据总线上的值锁存在273中,同时在273的输出端口输出,当数据总线上的值撤销以后,由于74ls273能够锁存信号,所以273的输出端保持不变,直到下次有新的数据被锁存,通过按键可以控制数据的变化,cpu根据按键变化控制输出,通过总线就可以控制数码管的变化,显示出秒表的效果。 3、实验步骤 1、根据实验目的及内容在proteus画出电路图,如下图所示 2、画好电路图后用汇编软件,按要求写出实验代码,代码如下: .MODEL SMALL .8086 .stack .code

.startup MOV DX,0200H LOOP0: MOV BL,SEC AND BX,000FH MOV SI,BX MOV AL,SITUATION[SI] MOV BL,SEC AND BX,00F0H MOV CL,4 SHR BX,CL MOV SI,BX MOV AH,SITUATION[SI] OUT DX,AX CALL DELAY MOV AL,SEC ADD AL,1 DAA MOV SEC,AL CMP SEC,60H JB LOOP0 MOV SEC,0 JMP LOOP0 DELAY PROC NEAR PUSH BX PUSH CX MOV BX,50 DEL1: MOV CX,5882 DEL2: LOOP DEL2 DEC BX JNZ DEL1 POP CX POP BX RET DELAY ENDP .data SEC DB 00H

电子秒表电路实验报告1

电子技术课程设计 报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要

秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

实验九电子秒表

实验九电子秒表 一、实验目的 1、学习数字电路中基本RS触发器、单稳态触发器、时钟发生器及计数、译码显示 等单元电路的综合应用。 2、学习电子秒表的调试方法。 二、实验原理 图形17-1为电子秒表的电原理图。按功能分成四个单元电路进行分析。 1、基本RS触发器 图形17-1中单元I为用集成与非门构成的基本RS触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出Q作为单稳太触发器的输入,另一跟路输出Q作为与非门5的输入控制信 号。按动按钮开关K2(接地),则门1输出=1;门2输出Q=0,K2复位后Q、状态保持不变。再按动按钮开关K1;则Q由0变为1,门5开启,为计数器启动作为准备。 由1变0,启动单稳态触发器工作。 基本RS触发器在电子秒表中的职能是启动和停止秒表的工作。 2、单稳态触发器 图17-1中单元II为用集成与非门构成的微分型单稳态触发器,图17-2为各点波形图。 单稳态触发器的输入触发脉冲信号V1由基本RS触发器端提供,输出负脉冲V0通过非门加到计数器的清除端R。 静态时,门4应处于截止状态,故电阻R必须小于门的关门电阻R OFF。定时元件RC取值不同,输出脉冲宽度也不同。当触发脉冲宽度小于输出脉冲宽度时,可以省去输入微分电路的RP和C P。 单稳态触发器在电子秒表中的职能是为计数器提供清零信号。 图17-1 电子秒表原理图 3时钟发生器

图17-1中单元III为用555定时器构成的多谐振荡器,是一种性能较好的时钟源。 调节电位器RW,使在输出端3获得频率为50HZ的矩形波信号,当基本RS触发器Q=1时,门5开启,此时50HZ脉冲信号通过门5作为计数脉冲加于计数器①的计数输入端CP2。 3、计数及译码显示 二—五—十进制加法计数器74LS90构成电子秒表的计数单元,如图17—1中单元IV所示。其中计数器①接成五进制形式,对频率为50HZ的时钟脉冲进行五分频,在输出端QD 取得周期为0.1S的矩形波脉冲,作为计数器②的时钟输入。计数器②及计数器③接成8421码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1~0.9秒;1~9.9秒计时。 注:集成异步计数器74LS90 74LS90是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。 图17—3为74LS90引脚排列,表17—1为功能表。 通过不同的连接方式,74LS90可以实现四种不同的逻辑功能;而且还右借助R0(1)、R0(2)对计数器清零,借助S9(1)、S9(2)将计数器置9。其具体功详述如下: (1)计数脉冲从CP1输入,Q A作为输出端,为二进制计数器。 (2)计数脉冲从CP2输入,Q D Q L Q H作为输出端,为异步五进制加法计数器。 (3)若将CP2和QA相连,计数脉冲由CP1输入,Q D、Q C、Q B、Q A作为输出端,则构成异步8421码十进制加法计数器。 (4)若将CP1与QD相连,计数脉冲由CP2输入,Q A、Q D、QC、QB作为输出端,则构成异步5421码十进制加法计数器。 (5)清零、置9功能。 a)异步清零 当R0(1)、R0(2)均为“1”;S9(1)、S9(2)中有“0”时,实现异步清零功能,即Q D QC QBQA=0000。 b)置9功能 当S9(1)、S9(2)均为“1”;R0(1)、R0(2)中有“0”时,实现置9功能,即Q D Q C QB QA =1001. 表17-1

单片机秒表实验报告

安徽科技学院机电与车辆工程学院 《电子电路课程综合实训》 验收材料 题目: 电子秒表 姓名(学号) 胡斌1609110208 李绪1609110214 王增龙1609110227 段鑫鹏 专业: 电气工程及其自动化 班级: 112班 指导教师:叶爱芹 2013 年 12 月 29日

目录 第一章单片机课程设计任务书 (1) 一、目的意义 (1) 二、设计时间、地点和班级 (1) 三、设计内容 (1) 四、参考电路图形 (2) 五、单片机的相关知识 (3) 第二章硬件设计 (5) 一、单片机简介 (5) 二、电源电路 (5) 三、晶振振荡电路 (5) 四、复位电路 (5) 五、显示电路 (6) 六、键盘电路 (6) 七、硬件主电路图设计 (7) 八、元件清单 (7) 第三章软件设计 (8) 一、软件设计概述 (8) 二、主程序流程图 (8) 三、程序中各函数设计 (8) 四、C语言主程序设计 (10) 第四章课程设计体会 (13) ..

五、单片机相关知识 本课题在选取单片机时,充分借鉴了许多成形产品使用单片机的经验,并根据自己的实际情况,选择了AT89C51。 AT89C51单片机采用40引脚的双列直插封装方式。图1.2为引脚排列图,40条引脚说明如下: 主电源引脚Vss和Vcc ①Vss接地 ②Vcc正常操作时为+5伏电源 外接晶振引脚XTAL1和XTAL2 ①XTAL1内部振荡电路反相放大器的输入端,是外接晶体的一个引脚。当采用外部振荡器时,此引脚接地。 ②XTAL2内部振荡电路反相放大器的输出端。是外接晶体的另一端。当采用外部振荡器时,此引脚接外部振荡源。 图1.2 AT89C51单片机引脚图 控制或与其它电源复用引脚RST/VPD,ALE/PROG,PSEN和EA/Vpp ①RST/VPD 当振荡器运行时,在此引脚上出现两个机器周期的高电平(由低到高跳变),将使单片机复位在Vcc掉电期间,此引脚可接上备用电源,由VPD向内部提供备用电源,以保持内部RAM中的数据。 ②ALE/PROG正常操作时为ALE功能(允许地址锁存)提供把地址的低 字节锁存到外部锁存器,ALE 引脚以不变的频率(振荡器频率的1/6)周期性地发出正脉冲信号。因此,它可用作对外输出的时钟,或用于定时目的。但要注意,每当访问外部数据存储器时,将跳过一个ALE脉冲,ALE 端可以驱动(吸收或输出电流)八个LSTTL电路。对于EPROM型单片机,在EPROM编程期间, 此引脚接收编程脉冲(PROG功能)

电子秒表设计实验报告

淮阴工学院 《数字电子技术》课程实验期末考核 2014-2015学年第2学期实验名称:电子秒表电路的设计 班级: 学号: 姓名: 学院:电子与电气工程学院 专业:自动化 系别:自动化 指导教师:《数字电子技术》实验指导教师组成绩: 2015年07月

电子秒表电路的设计 一、实验目的 1 .学习数字电路中基本RS 触发器、单稳态触发器、时钟发生器及计数、译码显示等单元电路的综合应用。 2 .学习电子秒表的调试方法。 二、实验原理 图11 -1 为电子秒表的电原理图。按功能分成四个单元电路进行分析。

1.基本RS 触发器 图11 -1 中单元I 为用集成与非门构成的基本RS 触发器。属低电平直接触发的触发器,有直接置位、复位的功能。 它的一路输出作为单稳态触发器的输入,另一路输出Q 作为与非门5 的输入控制信号。 按动按钮开关K 2(接地),则门1 输出=1 ;门2 输出Q =0 ,K 2 复位 后Q 、状态保持不变。再按动按钮开关K 1 , 则Q 由0 变为1 ,门5 开启, 为计数器启动作好准备。由1 变0 ,送出负脉冲,启动单稳态触发器工作。 基本RS 触发器在电子秒表中的职能是启动和停止秒表的工作。 2. 时钟发生器 图11 -1 中单元Ⅲ为用555 定时器构成的多谐振荡器,是一种性能较好的 时钟源。 调节电位器 R W ,使在输出端3 获得频率为50HZ 的矩形波信号,当基本RS 触发器Q =1 时,门5 开启,此时50HZ 脉冲信号通过门5 作为计数脉冲加于 计数器①的计数输入端CP 2 。

图11-2 单稳态触发器波形图图11-3 74LS90引脚排列 3.计数及译码显示 二—五—十进制加法计数器74LS90 构成电子秒表的计数单元,如图11 -1 中单元Ⅳ所示。其中计数器①接成五进制形式,对频率为50HZ 的时钟 取得周期为0.1S 的矩形脉冲,作为计数器②的脉冲进行五分频,在输出端Q D 时钟输入。计数器②及计数器③接成8421 码十进制形式,其输出端与实验装置上译码显示单元的相应输入端连接,可显示0.1 ~0.9 秒;1 ~9 秒计时。 注:集成异步计数器74LS90 74LS90 是异步二—五—十进制加法计数器,它既可以作二进制加法计数器,又可以作五进制和十进制加法计数器。

EDA实验报告-数字秒表

EDA实验报告 数字秒表的设计 指导老师:谭会生 班级:电技1503 学号: :博 交通工程学院 2017.10.28

实验二数字秒表电路的设计 一、实验目的 1.学习Quartus Ⅱ软件的使用方法。 2.学习GW48系列或其他EDA实验开发系统的基本使用方法。 3.学习VHDL程序的基本结构和基本语句的使用。 二、实验容 设计并调试一个计时围为0.01s~1h的数字秒表,并用GW48系列或其他EDA实验开发系统进行硬件验证。 三、实验要求 1.画出系统的原理框图,说明系统中各主要组成部分的功能。 2.编写各个VHDL源程序。 3.根据系统的功能,选好测试用例,画出测试输入信号波形或编好测试程序。 4.根据选用的EDA实验开发装置偏好用于硬件验证的管脚锁定表格或文件。 5.记录系统仿真,逻辑综合及硬件验证结果。 6.记录实验过程中出现的问题及解决方法。 四、实验条件 1.开发软件:Quartus Ⅱ13.0. 2.实验设备:GW48系列EDA实验开发系统。 3.拟用芯片:EP3C55F484C7 五、实验设计 1.设计思路 要设计一个计时为0.01S~1h的数字秒表,首先要有一个比较精确的计时基准信号,这里是周期为1/100s的计时脉冲。其次,除了对每一个计数器需要设置清零信号输入外,还需为六个技术器设置时钟使能信号,即计时允许信号,以便作为秒表的计时起、停控制开关。因此数字秒表可由一个分频器、四个十进制计数器以及两个六进制记数器组成,如图1所示。

系统原理框图 2.VHDL程序 (1)3MHz→100Hz分频器的源程序CLKGEN.VHD LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY CLKGEN IS PORT(CLK: IN STD_LOGIC; --3MHZ信号输入 NEWCLK: OUT STD_LOGIC); --100HZ计时时钟信号输出 END ENTITY CLKGEN; ARCHITECTURE ART OF CLKGEN IS SIGNAL CNTER: INTEGER RANGE 0 TO 10#239999#; --十进制计数预置数 BEGIN PROCESS(CLK) IS BEGIN IF CLK' EVENT AND CLK='1'THEN IF CNTER=10#239999#THEN CNTER<=0; --3MHZ信号变为100MHZ,计数常熟为30000 ELSE CNTER<=CNTER+1; END IF; END IF; END PROCESS; PROCESS(CNTER) IS --计数溢出信号控制 BEGIN IF CNTER=10#239999#THEN NEWCLK<='1'; ELSE NEWCLK<='0';

电子电工综合实验报告

电工电子综合试验——数字计时器实验报告 学号: 姓名: 学院: 专业:通信工程

目录 一,实验目的及要求 二,设计容简介 四,电路工作原理简述 三,设计电路总体原理框图五,各单元电路原理及逻辑设计 1. 脉冲发生电路 2. 计时电路和显示电路 3. 报时电路 4. 较分电路 六引脚图及真值表

七收获体会及建议 八设计参考资料 一,实验目的及要求 1,掌握常见集成电路实现单元电路的设计过程。 2,了解各单元再次组合新单元的方法。 3,应用所学知识设计可以实现00’00”—59’59”的可整点报时的数字计时器 二,设计容简介: 1,设计实现信号源的单元电路。( KHz F Hz F Hz F Hz F1 4 , 500 3 , 2 2 , 1 1≈ ≈ ≈ ≈ ) 2,设计实现00’00”—59’59”计时器单元电路。 3,设计实现快速校分单元电路。含防抖动电路(开关k1,频率F2,校分时秒计时器停止)。4,加入任意时刻复位单元电路(开关K2)。 5,设计实现整点报时单元电路(产生59’53”,59’55”,59’57”,三低音频率F3,59’59”一高音频率F4)。 三,设计电路总体原理框图 设计框图: 四,电路工作原理简述 电路由振荡器电路、分频器、计数器、译码器、显示器、校时电路和报时电路组成。振荡器产生的脉冲信号经过十二级分频器作为秒脉冲,秒脉冲送入计数器,计数器通过“时”、“分”、“秒”译码器显示时间,将分秒计时器分开,加入快速校分电路与防抖动电路,并控制秒计

时器停止工作。较分电路实现对“分”上数值的控制,而不受秒十位是否进位的影响,在60进制控制上加入任意时刻复位电路。报时电路通过1kHz或2kHz的信号和要报时的时间信号进行“与”的运算来实现的顶点报时的,通过两个不同频率的脉冲信号使得在不同的时间发出不同的声响。 五,各单元电路原理及逻辑设计 (1)脉冲发生电路 脉冲信号发生电路是危机时期提供技术脉冲,此次实验要求产生1HZ的脉冲信号。用NE555集成电路和CD4040构成。555定时器用来构成多谐振荡器,CD4040产生几种频率为后面电路使用。 实验电路如下(自激多谐振荡电路,周期矩形波发生电路) 震荡周期T=0.695(R1+2*R2)C,其中R1=1KΩ,R2=3KΩ,C=0.047uf,计算T=228.67*10-6 s ,f=4373.4Hz产生的脉冲频率为4KHz,脉冲信号发生电路 和CD4040连接成如图所示的电路,则从Q12输出端可以得到212分频信号F1,即1Hz的信号,Q11可以得到F2即2Hz的信号提供给D触发器CP和校分信号,Q3输出分频信号500Hz,Q2输出1KHz提供给报时电路 二,秒计时电路 应用CD4518及74LS00可以设计该电路,CD4518是异步清零,所以在进行分和秒十位计数的时候,需要进行清零,而在个位计数的时候不需要清零。所以Cr2=2QcQb,Cr4=4Qc4QB。当秒个位为1001时,秒十位要实现进位,此时需要EN2=1Qd,同理分的个位时钟EN3=2Qc,分十位时钟端EN4=3Qd。因此,六十进制计数器逻辑电路如下图所示

FPGA设计的秒表设计实验报告

《FPGA原理及应用》 实验报告书 (7) 题目秒表设计 学院专业 姓名学号 指导教师 2015年10-12月 一、实验目的 掌握小型电路系统的 FPGA 设计法。 二、实验内容

用文本法结合原理图的方法设计一个秒表,并在实验箱上进行验证。秒表基本功能要求如下: (1)要求设置复位开关。当按下复位开关时,秒表清零并做好计时准备。在任何情况下只要按下复位开关,秒表都要无条件地进行复位操作,即使是在计时过程中也要无条件地进行清零操作。 (2)要求设置启/停开关。当按下启/停开关后,将启动秒表并开始计时,当再按一下启/停开关时,将终止秒表的计时操作。 (3)要求计时精确度大于秒。要求设计的计时器能够显示分(2 位)、秒(2 位)、秒(1 位)的时间。 (4)要求秒表的最长计时时间为 1 小时。 要求外部时钟频率尽量高,分频后再给秒表电路使用。 三、实验条件 1、开发软件:QuartusⅡ 2、实验设备:KX_DN8EDS实验开发系统 3、拟用芯片:EP3C55F484C8 四、实验设计 1、六进制计数器 仿真波形

2、十进制计数器 3、分频计 4、七段数码管译码器

5、100进制原理图 6、60进制原理图 7、秒表原理图

8、管脚锁定 新建好工程文件,芯片选择Cyclone Ⅲ下面的EP3C55F484C8系列。然后锁定引脚:选择Assignments → Assignments Editor命令。

9、编译文件下载 将编译产生的SOF格式配置文件下载进FPGA中。 10、FPGA实验箱接线 在KX-EDA40A++实验箱上进行连线,分配J4,J5的引脚,输入CLK(PIN_接到时钟信号,输入的EN,RST 接到电平开关L1,L2。 五、实验总结 经过本次实验,我对QuartusⅡ的使用认识更加深刻,对FPGA技术有了更深层次的认识,有助于我对以后的电子电路设计有极大帮助。

数字电路实验电子秒表设计

* * 交通大学 信息科学与工程学院 综合性实验报告 姓名:*** 学号631206050*** 班级:2012 级软件2班 实验项目名称:电子秒表 实验项目性质:设计性实验 实验所属课程:数字电路技术基础 实验室(中心):电子电工实验室 指导教帅: *** _________________________ 实验完成时间:2013 年J2 月20 日

一、实验目的 1. 熟悉计数器的工作原理及特点; 2. 了解和掌握四位同步可预置二进制计数器 74LS161的使用方法; 3. 学习设计N进制加法计数器的方法; 4. 了解电子秒表的设计原理; 5. 掌握电子秒表的设计方法; 二、实验内容及要求 1. 自己设计的同学可以在实验中验证; 2. 按老师要求做的最好回去用EW殷计60分钟的电子秒表; 3. 完成实验报告,附上电路图及仿真结果; 4. 总结数字电路设计的一般方法,掌握常见的数字电路设计的软件。 三、实验原理 本实验采用4枚74LS161计数器及少量的门电路组成。当原有计数器从全0状态开始计数并接收了n个脉冲后,电路进入SN状态;如果将SN状态译码产生一个置零信号加入到计数器的置零输入端,则计数器立刻返回S0状态,因此可以得到相应进制 的计数器。由丁电路一旦进入SN状态后立刻乂被置成S0状态,所以SN状态只在极短的时间

出现,在稳定的状态循环中不包括SN状态。 本实验采用的4枚74LS161计数器中其中两枚采用十进制计数,另外两枚采用六进制计数用丁实现60分钟秒表的设计。 五、实验过程及原始记录(含电路图) 本实验采用4枚74LS161计数器进行实验设计,用丁构成秒表;设计过程中其中两枚需设计成十进制计数器;另外两枚需设计成6进制计数器。 六进制计数器:当Q3Q2Q1Q0=0110时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=0101时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 十进制计数器:当Q3Q2Q1Q0=1010时,置零输入端输入置零信号使计数器活零;当Q3Q2Q1Q0=1001时,产生一个进位信号输入下一级计数器的EP、ET工作状态控制端。 其电路图实现如下:

电子秒表电路实验报告

电子技术课程设计报告 设计题目:电子秒表 院(部):物理与电子信息学院 专业班级:电子信息工程 学生姓名: 学号: 指导教师: 摘要 秒表应用于我们生活、工作、运动等需要精确计时的方面。它由刚开始的机械式秒表发展到今天所常用的数字式秒表。秒表的计时精

度越来越高,功能越来越多,构造也日益复杂。 本次数字电路课程设计的数字式秒表的要求为:显示分辨率为 1s/100,外接系统时钟频率为100KHz;计时最长时间为60min,五位显示器,显示时间最长为59m59.99s;系统设置启/停键和复位键。复位键用来消零,做好计时准备、启/停键是控制秒表起停的功能键。 针对上述设计要求,先前往校图书馆借阅了大量的数字电路设计方面的书籍,以及一本电子元件方面的工具书,以待查阅各种设计中所需要的元件。其次安装并学习了数字电路设计中所常用的Multisim 仿真软件,在课程设计过程的电路图设计与电路的仿真方面帮助我们发现了设计电路方面的不足与错误之处。 关键字:555定时器十进制计数器六进制计数器多谐振荡器

目录 1.选题与需求分析 (1) 1.1设计任务 (1) 1.2 设计任务 (1) 1.3设计构思 (1) 1.4设计软件 (2) 2.电子秒表电路分析 (3) 2.1总体分析 (3) 2.2电路工作总体框图 (3) 3.各部分电路设计 (4) 3.1启动与停止电路 (4) 3.2时钟脉冲发生和控制信号 (4) 3.3 设计十进制加法计数器 (6) 3.4 设计六进制加法计数器 (7) 3.5 清零电路设计 (8) 3.7 总体电路图: (10) 4 结束语与心得体会 (12)

电子秒表显示器实验报告

电子秒表显示器实验报告 洛阳理工学院实验报告系别计算机系班级 B140502 学号 B14050226 姓名韩亚辉课程名称单片机原理及应用实验日期 2016-5-6 实验名称电子秒表显示器成绩实验目的:掌握中断和定时/计数器的工作原理,熟悉C51编程与调试方法实验条件:计算机一台、ISIS、Proteus软件 实验内容: (1)提前阅读与实验5相关的阅读材料; (2)参照实验原理图,在ISIS中完成电路原理图的绘制; (3)参照软件流程图,在uVision3中编写和编译C51程序,生成可执行文件; (4)在uVision3中启动ISIS的仿真运行,并进行联机调试实验步骤: (1)理解定时器的工作原理,完成定时中断程序的编写与调试; (2)练习uVision3与ISIS的联机仿真方法 一、实验原件清单 元件类别电路符号元件名称 Microprocessor ICs U1 80C51 Miscellaneous X1/12MHz CRYSTAL Capacitors C1~C2/1nF CAP Capacitors C3/22uF CAP-ELEC Resistors Packs R2~R8/1k RES Resistors R1/100Ω RES Optoelectronics LED1~LED2 7SEG-COM-CAT-GRN 二、根据原理图画出的实验图

实验电路在软件配合下,要求实现如下功能:数码管的初始显示值为“00”;当1s产生时,秒计数器加1;秒计数到60时清0,并从“00”重新开始,如此周而复始进行。 三、实验程序如下: #include //包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义 #define DataPort P0 //定义数据端口程序中遇到DataPort 则用P0 替换sbit LATCH1=P2^2;//定义锁存使能端口段锁存 sbit LATCH2=P2^3;// 位锁存 sbit P3_7=P3^7;//定义按键位 unsigned char code dofly_DuanMa[10]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f} ;// 显示段码值0~9 unsigned char code dofly_WeiMa[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//分别对应相应的数码管点亮,即位码 unsigned char TempData[8]; //存储显示值的全局变量

89C51单片机课程设计之秒表设计实验报告.

这里可以加学校LOGAL 单片机课程设计报告 院系:12级物信系 班别:光信息科学与技术7班 课程名称:秒表设计 姓名:龚俊才欧一景 学号:1210407033 1210407041 指导老师:张涛 2011.12.23

目录 1课程设计的目的和任务 1.1 单片机秒表课程设计的概述 1.2课程设计思路及描述 1.3 课程设计任务和要求 2硬件与软件的设计流程 2.1系统硬件方案设计 2.2软件方案设计 3 程序编写流程及课程设计效果3.1源程序及注释 3.2原理图分析 3.3课程设计效果 4 心得体会 5 相关查阅资料

1. 课程设计的目的和任务 1.1单片机秒表课程设计的概述 一、课程设计题目 秒表系统设计——用STC89C52RC设计一个4位LED数码显示“秒表”,显示时间为 00.00~99.99秒,每10毫秒自动加一,每1000毫秒自动加一秒。 二、增加功能 增加一个“复位”按键(即清零),一个“暂停”和“开始”按键。 三、课程设计的难点 单片机电子秒表需要解决三个主要问题,一是有关单片机定时器的使用;二是如何实现LED 的动态扫描显示;三是如何对键盘输入进行编程。 四、课程设计内容提要 本课程利用单片机的定时器/计数器定时和记数的原理,结合集成电路芯片8051、LED数码管以及课程箱上的按键来设计计时器。将软、硬件有机地结合起来,使得系统能够正确地进行计时,数码管能够正确地显示时间。其中本课程设计有两个开关按键:其中key1按键按下去时开始计时,即秒表开始键(同时也用作暂停键),key2按键按下去时数码管清零,复位为“00.00”. 五、课程设计的意义 1)通过本次课程设计加深对单片机课程的全面认识复习和掌握,对单片机课程的应用进一步 的了解。 2)掌握定时器、外部中断的设置和编程原理。 3)通过此次课程设计能够将单片机软硬件结合起来,对程序进行编辑,校验。 4)该课程通过单片机的定时器/计数器定时和计数原理,设计简单的计时器系统,拥有正确的 计时、暂停、清零,并同时可以用数码管显示,在现实生活中应用广泛,具有现实意义 六、课程设计仪器 a) 集成电路芯片8051,七段数码管,89C51单片机开发板 b) MCS-51系列单片机微机仿真课程系统中的软件(Keil uvision2)。

数字秒表实验报告

EDA课程设计 题目:基于VHDL的数字秒表设计 学生姓名 学号 学院电子信息学院 专业 10通信工程 指导教师 二零一二年十二月

基于VHDL的数字秒表设计 摘要 当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。 关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1K Abstract The electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation. Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K

打点计时器实验报告

实 验 报 告 班级 姓名 实验课题:用打点计时器测速度 实验目的:(1)认识打点计时器的结构及工作原理 (2)练习使用打点计时器,并根据纸带研究物体的运动 (3)根据纸带上的点迹求平均速度及粗略的测量物体的瞬时速度 (4)能认识、描绘v--t 图象,并根据v--t 图象判断物体的运动情况 实验器材:学生电源、导线、打点计时器、纸带、复写纸(斜面、小车、钩码)刻度尺 实验原理: 1、打点计时器的工作原理:打点计时器是利用电磁感应原理制造的,通过打点来计时的一种仪器。当通有交流电(4~6V )时,线圈变为一电磁铁(N 极,S 极不断变化),与永久磁铁相互作用,造成振片上下振动,带动振针在运动的纸带上打下一系列的点迹。由于交流电为50Hz ,故打下的每两个点之间的时间间隔为0.02秒,打下的点不仅记录了物体的位置,也记录了运动所用的时间。 2、测一段位移的平均速度:取纸带上某两点之间为研究对象,用刻度尺测出它们之间的距离Δx ,通过两点之间的间隔数n ,求出该段位移所用时间Δt=n ×0.02s,利用平均速度的公式求出该位移的平均速度。 3、粗略测量瞬时速度:测量某点(位置)瞬时速度时,在其两侧(包含该点)取一段小位移,求出其平均速度,可以粗略的代替该点的瞬时速度。 实验步骤: 1、 认识打点计时器的构造及工作原理: 2、 练习使用打点计时器: (1) 将打点计时器固定,熟悉实验仪器。 (2) 用导线将学生电源与打点计时器连接 (学生电源处于关闭状态)。 (3) 装好复写纸片及纸带,启动电源,用手水平拉动纸带,纸带上就打出一行小 点,随后立即关闭电源。

(4) 取下纸带,从能够看清的某个点开始,往后数出若干个点。如果数出n 个点, 由间隔数计算出第一个点到第n 个点的运动时间。 (5) 用刻度尺测量出第一个点到第n 个点的距离,由平均速度的公式,求出该段 位移内的平均速度。 3、 测量瞬时速度: (1)、取纸带上某一点为计时零点,每隔0.1s (5个间隔)取一测量点,分别用数字0, 1, 2,3,4,5标出这些“测量点”。 (3)将上表中的瞬时速度在v —t 图中描点连线,画出v —t 图象。 注意事项: 1、实验时注意人身安全及仪器安全, 打点计时器应使用10V 以下交流电源。 2、实验时先接通电源,再拉动纸带,实验 完毕立即关闭电源。 4、 手拉纸带时,速度应快些,以防点迹太密集。 5、 利用小车时,应用手接好小车,防止小车落地损坏实验仪器。

相关主题
文本预览
相关文档 最新文档