当前位置:文档之家› 电子设计自动化中英文对照外文翻译文献

电子设计自动化中英文对照外文翻译文献

电子设计自动化中英文对照外文翻译文献
电子设计自动化中英文对照外文翻译文献

中英文对照外文翻译文献

(文档含英文原文和中文翻译)

Electronic Design Automation

EDA (Electronic Design Automation) technology is a new technology of the modern field of electrical engineering, which provides computer-based information technology and the methods of circuit design. EDA technology development and application of greatly promoted the development of the electronics industry. With the development of EDA technology, hardware design of electronic circuits can rely on almost all computers to accomplish, thus greatly shortening the cycle of hardware electronic circuit design, enabling manufacturers to quickly develop a variety of small quantities of products to meet the market demand. EDA technology, the basic idea is the help of computers, the EDA software platform to complete electronic circuit design, simulation and PCB design of the entire process. For more complex circuits, if necessary, can be used to implement programmable logic devices. EDA technology not only on the Electronic Course and analysis of simulation experiments to address

the variety of laboratory components, specifications and quantity restrictions are not sufficient to avoid damage to the students in the lab components and devices to stimulate interest in learning, to develop their analysis, electronic product design and development ability, but also e-workers to design, develop a powerful tool for electronic products. Thinking of EDA technology education and industry promotion is a technology hot spot in today's world, EDA technology is indispensable in the modern electronics industry to a technology. EDA technology has a broad meaning, but also a progressive development of the field has a strong vitality. Today's EDA technology has reached a "system on a chip" (SOC, System On Chip) stage. Developers can use the powerful EDA design software, the use of IP (Intellectual Property) IP core, coupled with his innovative thinking, and build their own custom chips, which have their own IP rights to design specific integrated circuit (ASIC, Application Specific IC .) EDA technology in the popularity of teaching, practical applications based on programmable device technology, which includes four basic conditions:

①large-scale programmable devices, it is the use of EDA techniques carrier electronic system design;

②hardware description language It is the use of EDA technologies for electronic system design, the main means of expression;

③ software development tools, it is the use of EDA technologies for intelligent electronic system design automation design tools.

④ experimental development system, which is the use of EDA technology for electronic systems Download tools and hardware design verification tools. Programmable Logic Control (CPLD / FPGA)

In our design, we was selected CPLD / FPGA, as compared with the traditional MCU has many advantages, mainly in the following areas:

① advanced programming very easy. CPLD / FPGA products, part of the daisy chain in-system programming mode. This advanced method of programming has become the world's development trend of various types of programmable devices. Because it obviates the expensive and inconvenient operation dedicated programmer, just need to download a very simple programming circuit and a PC, printer communication cable on the line. It is not programmed pressure, the TTL level line can be programmed at any time, and the so-called multi-chip daisy chain serial

programming. Its programming up to 1 million times, such as Lattice's isles and AMD's MACH family. In addition, programming can easily achieve infrared, ultrasonic or radio programming programmer, or through the telephone line remote online programming. These features are in communication devices and military special purpose devices.

② high speed. CPLD / FPGA clock delay of up to ns level, combined with the parallel work, in the ultra high-speed real-time monitoring and control applications and has a very broad application prospects. If you use the FLEX10K50 ALTERA development network image through USB interface, real-time encryption / decryption ASIC system, carried out in FLEX10K50 up to 56-bit parallel binary arithmetic, each encryption / decryption cycle of only a few μs, and the MCU takes nearly 1 minute . Another example is in the mold manufacturing EDM processing, motor control, the effective o peration of the processing parts from only a few μs, which is required for the control of sensitive and high-speed circuit feeding service, not a short circuit or arcing is less than the breakdown . Obviously, this work, MCU is difficult to directly participate. If direct feeding by ispLSI1032 service control, feeding on the closed-loop motor speed service, the use of sampling ispLSI direct control of the AD1674, 8-bit accuracy using a maximum speed of 8μs / each, in order to achieve a good closed-loop speed control of synchronous and .

③high reliability. In high reliability applications, MCU's shortcomings as a CPLD / FPGA application left a lot of useless. Although the function of this group developed the device is achieved through the EDA software. But the physical mechanism like a 74LS164 as purely a hardware circuit is very reliable. Through the rational design of most applications, no need to consider the complex reset and initialization. Design using a simple statement just idle initial entry into the same, we can effectively prevent any possible "death" phenomenon. Because it is working in parallel, it can be used as either input pin interrupt monitoring is similar to pin MCU, and the reaction rate is only satisfied wonderful class. CPLD / FPGA, high reliability is also reflected in almost the entire system can be downloaded on the same chip, thus greatly reducing the volume, easy to manage and shielding.

④ powerful, applications are broad. Currently, CPLD / FPGA to select a large range, according to different applications use different capacity chips, such as Lattice's ispLSI and AMD's MACH, the smallest chip for the 1000 equivalent logic gates, the largest of several one hundred thousand . ALTERA and XILINX gate

introduced millions of CPLD / FPGA can achieve almost any form of digital circuits or digital systems design. With the wide application of such devices and the cost dropped significantly, and the market rate increase, CPLD / FPGA in the system rate is almost equal to the direct application of ASIC development.

⑤ easy to use, develop convenient. The design of SCM experts in application system is very simple. However, for beginners, such as the CPU's work, many of the usage of special registers, interrupt concepts, etc., really is not an easy task. In contrast, CPLD / FPGA application does not require too much preparation to learn the knowledge, as long as a little bit of design of digital circuits and computer software basics, you can in the short term to handle basic design and development skills. And in turn, to learn to use SCM, it appeared hundreds of times more. This is undoubtedly high for us to provide a shortcut to learning, standing on the shoulders of giants, of course faster to be successful. It can be predicted, the study of EDA technology boom and the CPLD / FPGA application boom never inferior to boom over the past 10 years, single chip.

⑥ short development cycle. EDA software features as the corresponding sound and powerful, convenient and real-time simulation capabilities, and intuitive image of the development process, and the hardware factors involved very little, it can be very complicated in a very short time the system design, which is the product to market quickly the most valuable features. Some EDA experts predict, the future of large-scale systems of CPLD / FPGA design is just all kinds of logic and then apply the IP core (CORE) of the assembly, the design cycle, only hour. TI company that eighty percent of an ASIC IP core features available such as ready-made logic synthesis.

1.Development of language VHDL

VHDL (Very High Speed Integrated Circuit Hardware Description Language) is a very high speed integrated circuit hardware description language, it can describe the function of the hardware circuitry, signal connectivity and the time between languages. It can be more effective than the circuit diagram to express the characteristics of the hardware circuit. Using the VHDL language, you can proceed to the general requirements of the system, since the detailed content will be designed to come down to earth, and finally to complete the overall design of the system hardware. IEEE VHDL language has been the industry standard as a design to facilitate reuse and sharing the results. At present, it can not be applied analog circuit design, but has been put into research. VHDL program structure, including: entity (Entity), structure

(Architecture), configure (Configuration), Package Collection (Package) and the Library (Library). Among them, the entity is the basic unit of a VHDL program, by entity and the structure of two parts: the physical design system that is used to describe the external interface signal; structure used to describe the behavior of the system, the system processes or system data structure form. Configuration select the required language from the library system design unit to form different versions of different specifications, so that the function is designed to change the system. Collection of records of the design module package to share the data types, constants, subroutines and so on. Database used to store the compiled entities, the body structure, including the collection and configuration: one is the development of engineering software user, the other is the manufacturer's database.

VHDL, the main features are:

① powerful, high flexibility: VHDL language is a powerful language structure, clear and concise code can be used to design complex control logic. VHDL language also supports hierarchical design, support design databases and build reusable components. Currently, VHDL language has become a design, simulation, synthesis of standard hardware description language.

② Device independence: VHDL language allows designers to generate a design do not need to first select a specific device. For the same design description, you can use a variety of different device structures to achieve its function. So the design description stage, able to focus on design ideas. When the design, simulation, after the adoption of a specific device specified integrated, adapter can be.

③Portability: VHDL language is a standard language, so the use of VHDL design can be carried out by different EDA tool support. Transplanted from one to another simulation tools simulation tools, synthesis tools from a port to another integrated tool, from a working platform into another working platform. EDA tools used in a technical skills, in other tools can also be used.

④top-down design methods: the traditional design approach is bottom-up design or flat design. Bottom-up design methodology is to start the bottom of the module design, the gradual formation of the functional modules of complex circuits. Advantage of this design is obvious because it is a hierarchical circuit design, the general circuit sub-module are in accordance with the structure or function of division, so the circuit level clear, clear structure, easy people to develop, while the design archive file is easy, easy communication. Bottom-up design is also very obvious

shortcomings, the overall design concept is often not leaving because the cost of months of low-level design in vain. Flat design is a module containing only the circuit, the circuit design is straightforward and, with no division structure and function, it is not hierarchical circuit design. Advantages of small circuit design can save time and effort, but with the increasing complexity of the circuit, this design highlights the shortcomings of the abnormal changes. Top-down design approach is to design top-level circuit description (top model), and then the top-level simulation using EDA software, if the top-level design of the simulation results meet the requirements, you can continue to lower the top-level module by the division level and simulation, design of such a level will eventually complete the entire circuit. Top-down design method compared with the first two are obvious advantages.

⑤ rich data types: as a hardware description language VHDL data types are very rich language, in addition to VHDL language itself dozens of predefined data types, in the VHDL language programming also can be user-defined data types. Std_logic data types in particular the use of VHDL language can make the most realistic complex signals in analog circuits.

⑥ modeling convenience: the VHDL language can be integrated in the statement and the statement are available for simulation, behavior description ability, therefore particularly suitable for signal modeling language VHDL. The current VHDL synthesizer to complex arithmetic comprehensive descriptions (such as: Quartus Ⅱ2.0 and above versions of std_logic_vector type of data can add, subtract, multiply, divide), so the circuit modeling for complex simulation of VHDL language, whether or comprehensive description of the language are very appropriate.

⑦ rich runtime and packages: The current package supports VHDL, very rich, mostly in the form of libraries stored in a specific directory, the user can at any time. Such as the IEEE library collection std_logic_1164, std_logic_arith, std_logic_unsigned other package. In the CPLD / FPGA synthesis, EDA software vendors can also use the various libraries and provide package. VHDL language and the user using a variety of results can be stored in a library, in the design of the follow-up can continue to use.

⑧VHDL language is a modeling hardware description language, so with ordinary computer languages are very different, common computer language is the CPU clock according to the beat, after an instruction to perform the next instruction, so instruction is a sequential, that is the order of execution, and execution of each

instruction takes a specific time. VHDL language to describe the results with the corresponding hardware circuit, which follows the characteristics of hardware, there is no order of execution of the statement is executed concurrently; and statements that do not like ordinary software, take some time each instruction, just follow their own hardware delay.

2. Development Environment MAX + PLUSⅡ/ QUARTERⅡ

Altera Corporation is the world's three major CPLD / FPGA manufacturers of the devices it can achieve the highest performance and integration, not only because of the use of advanced technology and new logic structure, but also because it provides a modern design tools MAX + PLUSⅡprogrammable logic development software, the software is launched the third generation of Altera PLD development system. Nothing to do with the structure provides a design environment for Altera CPLD designers to easily design entry, quick processing, and device programming. MAX + PLUSⅡprovides a comprehensive logic design capabilities, including circuit diagrams, text and waveform design entry and compilation, logic synthesis, simulation and timing analysis, and device programming, and many other features. Especially in the schematic so, MAX + PLUSⅡis considered the most easy to use, the most friendly man-machine interface PLD development software. MAX + PLUSⅡcan develop anything other than the addition APEX20K CPLD / FPGA.

MAX + PLUSⅡdevelopment system has many outstanding features:

①open interface.

②design and construction related: MAX + PLUSⅡsupport Altera's Classic, ACEX 1K, MAX 3000, MAX 5000, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000 and FLEX 10K series of programmable logic devices, gate count is 600 ~ 250 000 doors, offers the industry really has nothing to do with the structure of programmable logic design environment. MAX + PLUSⅡcompiler also provides a powerful logic synthesis and optimization to reduce the burden on the user's design.

③can be run on multiple platforms: MAX + PLUSⅡsoftware PC-based WindowsNT 4.0, Windows 98, Win dows 2000 operating systems, but also in Sun SPARCstations, HP 9000 Series 700/800, IBM RISC System/6000 such as run on workstations.

④fully integrated: MAX + PLUSⅡsoftware design input, processing, calibration functions are fully integrated within the programmable logic development tools, which can be debugged more quickly and shorten the development cycle.

⑤modular tools: designers can input from a variety of design, editing, calibration and programming tools to choose the device to form a user-style development environment, when necessary, to retain on the basis of the original features to add new features. The MAX + PLUSⅡSeries supports a variety of devices, designers need to learn new development tools for the development of new device structures.

⑥mail-description language (HDL): MAX + PLUSⅡsoftware supports a variety of HDL design entry, including the standard VHDL, Verilog HDL and Altera's own developed hardware description language AHDL.

⑦MegaCore Function: MegaCore are pre-validated for the realization of complex system-level functions provided by the HDL netlist file. It ACEX 1K, MAX 7000, MAX 9000, FLEX 6000, FLEX 8000 and FLEX 10K devices provide the most optimal design. Users can purchase them from the Altera MegaCore, using them can reduce the design task, designers can make more time and energy to improve the design and final product up.

⑧OpenCore Features: MAX + PLUSⅡsoftware with open characteristics of the kernel, OpenCore come to buy products for designers design their own assessment.

At the same time, MAX + PLUSⅡthere are many other design entry methods, including:

①graphic design input: MAX + PLUSⅡgraphic design input than other software easier to use features, because the MAX + PLUSⅡprovides a rich library unit for the designer calls, especially in the MAX2LIB in the provision of the mf library includes almost all 74 series of devices, in the prim library provides all of the separate digital circuit devices. So long as a digital circuit knowledge, almost no learning can take advantage of excess MAX + PLUSⅡfor CPLD / FPGA design. MAX + PLUSⅡalso includes a variety of special logic macros (Macro-Function) and the parameters of the trillion of new features (Mega-Function) module. Full use of these modules are designed to greatly reduce the workload of designers to shorten design cycles and multiply.

②Enter the text editor: MAX + PLUSⅡtext input language and compiler system supports AHDL, VHDL language, VERILOG language of the three input methods.

③wave input: If you know the input, output waveform, the waveform input can also be used.

④hybrid approach: MAX + PLUSⅡdesign and development environment for graphical design entry, text editing input, waveform editing input hybrid editing. To do: in graphics editing, wave form editing module by editing the text include "module name. Inc" or the use of Function (... ..) Return (....) Way call. Similarly, the text editing module input form can also be called when the graphics editor, AHDL compiler results can be used in the VHDL language, VHDL compiler of the results can also be entered in the AHDL language or graphic to use. This flexible input methods, to design the user has brought great convenience.

Altera's QuartusⅡis a comprehensive PLD development software to support the schematic, VHDL, Verilog HDL, and AHDL (Altera Hardware Description Language) and other design input forms, embedded devices, and integrated its own simulator, you can complete the design input to complete the hardware configuration of the PLD design process.

QuartusⅡin the XP, Linux and Unix on the use, in addition to using the Tcl script to complete the design process, to provide a complete graphical user interface design. With running speed, unified interface, feature set, easy to use and so on.

Altera's QuartusⅡsupport IP core, including the LPM / MegaFunction macro function module library, allowing users to take full advantage of sophisticated modules, simplifying the design complexity and speed up the design speed. Good for third-party EDA tool support also allows the user to the various stages in the design process using the familiar third-party EDA tools.

In addition, QuartusⅡand DSP Builder tools and by Matlab / Simulink combination, you can easily achieve a variety of DSP applications; support Altera's programmable system chip (SOPC) development, set system-level design, embedded software development, programmable logic design in one, is a comprehensive development platform.

MAX+PLUSⅡgeneration as Altera's PLD design software, due to its excellent ease of use has been widely used. Altera has now stopped MAX+PLUSⅡupdate support, QuartusⅡnot only support the device type as compared to the rich and the graphical interface changes. Altera QuartusⅡincluded in many such SignalTapⅡ, Chip Editor and RTL Viewer design aids, integrated SOPC and HardCopy design process, and inherit MAX+PLUSⅡfriendly graphical interface and easy to use.

MAX+PLUSⅡgeneration as Altera's PLD design software, due to its excellent ease of use has been widely used. Altera has now stopped MAX+PLUSⅡupdate

support, QuartusⅡnot only support the device type as compared to the rich and the graphical interface changes. Altera QuartusⅡincluded in many such SignalTapⅡ, Chip Editor and RTL Viewer design aids, integrated SOPC and HardCopy design process, and inherit MAX+PLUSⅡ friendly graphical interface and easy to use.

Altera QuartusⅡ as a programmable logic design environment, due to its strong design capabilities and intuitive interface, more and more digital systems designers welcome.

Altera's QuartusⅡis the fourth generation of programmable logic PLD software development platform. The platform supports a working group under the design requirements, including support for Internet-based collaborative design. Quartus platform and Cadence, ExemplarLogic, MentorGraphics, Synopsys and Synplicity EDA vendors and other development tools are compatible. LogicLock improve the software module design features, added FastFit compiler options, and promote the network editing performance, and improved debugging capabilities. MAX7000/MAX3000 devices and other items to support the product.

电子设计自动化

EDA(电子设计自动化)技术是现代电子工程领域的一项新技术,它提供了计算机信息技术和电路设计方法。EDA技术的发展和应用,极大地促进了电子行业的发展。EDA技术的发展,硬件设计的电子电路可以依赖几乎所有电脑完成,从而大大缩短周期的硬件电子电路设计,让制造商快速开发各种少量的产品,以满足市场需求。EDA技术,基本观点是电脑的帮助下,EDA软件平台来完成电子电路设计、仿真和PCB设计的整个过程。对于更复杂的电路,如有必要,可用于实现可编程逻辑器件。EDA技术不仅在电子课程和分析仿真实验来解决各种各样的实验室组件,规格和数量限制是不够的,以避免伤害到学生在实验室组件和设备来刺激学习兴趣,开发他们的分析、电子产品的设计和开发能力,但也e工人设计,开发一个强大的工具,电子产品。思想教育和行业的EDA技术推广是一个技术热点在当今世界,EDA技术是现代电子工业中不可或缺的技术。EDA技术具有广泛的含义,但也是一个渐进发展的领域有强大的生命力。今天的EDA技术已经达到了一个“片上系统(SOC芯片,系统)阶段。开发人员可以使用强大的EDA

【经济类文献翻译】电子商务

电子商务 电子商务(Electronic Commerce)是在Internet开放的网络环境下,基于浏览器/服务器应用方式,实现消费者的网上购物、商户之间的网上交易和在线电子支付的一种新型的商业运营模式 Internet上的电子商务可以分为三个方面:信息服务、交易和支付。主要内容包括:电子商情广告;电子选购和交易、电子交易凭证的交换;电子支付与结算以及售后的网上服务等。主要交易类型有企业与个人的交易(BtoC方式)和企业之间的交易(BtoB方式)两种。参与电子商务的实体有四类:顾客(个人消费者或企业集团)、商户(包括销售商、制造商、储运商)、银行(包括发卡行、收单行)及认证中心。 电子商务是Internet爆炸式发展的直接产物,是网络技术应用的全新发展方向。Internet本身所具有的开放性、全球性、低成本、高效率的特点,也成为电子商务的内在特征,并使得电子商务大大超越了作为一种新的贸易形式所具有的价值,它不仅会改变企业本身的生产、经营、管理活动,而且将影响到整个社会的经济运行与结构。 1.电子商务将传统的商务流程电子化、数字化,一方面以电子流代替了实物流,可以大量减少人力、物力,降低了成本;另一方面突破了时间和空间的限制,使得交易活动可以在任何时间、任何地点进行,从而大大提高了效率。 2.电子商务所具有的开放性和全球性的特点,为企业创造了更多的贸易机会。 3.电子商务使企业可以以相近的成本进入全球电子化市场,使得中小企业有可能拥有和大企业一样的信息资源,提高了中小企业的竞争能力。 4.电子商务重新定义了传统的流通模式,减少了中间环节,使得生产者和消费者的直接交易成为可能,从而在一定程度上改变了整个社会经济运行的方式。 5.电子商务一方面破除了时空的壁垒,另一方面又提供了丰富的信息资源,

《自动化专业英语》中英文翻译-中文部分

第二部分 控制理论 第1章 1.1控制系统的引入 人类控制自然力量的设计促进人类历史的发展,我们已经广泛的能利用这种量进行在人类本身力量之外的物理进程?在充满活力的20世纪中,控制系统工程的发展已经使得很多梦想成为了现实?控制系统工程队我们取得的成就贡献巨大?回首过去,控制系统工程主要的贡献在机器人,航天驾驶系统包括成功的实现航天器的软着陆,航空飞机自动驾驶与自动控制,船舶与潜水艇控制系统,水翼船?气垫船?高速铁路自动控制系统,现代铁路控制系统? 以上这些类型的控制控制系统和日常生活联系紧密,控制系统是一系列相关的原件在系统运行的基础上相互关联的构成的,此外控制系统存在无人状态下的运行,如飞机自控驾驶,汽车的巡航控制系统?对于控制系统,特别是工业控制系统,我们通常面对的是一系列的器件,自动控制是一个复合型的学科?控制工程师的工作需要具有力学,电子学,机械电子,流体力学,结构学,无料的各方面的知识?计算机在控制策略的执行中具有广泛的应用,并且控制工程的需求带动了信息技术的与软件工程的发展? 通常控制系统的范畴包括开环控制系统与闭环控制系统,两种系统的区别在于是否在系统中加入了闭环反馈装置? 开环控制系统 开环控制系统控制硬件形式很简单,图2.1描述了一个单容液位控制系统, 图2.1单容液位控制系统 我们的控制目标是保持容器的液位h 在水流出流量V 1变化的情况下保持在一定 可接受的范围内,可以通过调节入口流量V 2实现?这个系统不是精确的系统,本系 统无法精确地检测输出流量V 2,输入流量V 1以及容器液位高度?图2.2描述了这 个系统存在的输入(期望的液位)与输出(实际液位)之间的简单关系, 图2.2液位控制系统框图 这种信号流之间的物理关系的描述称为框图?箭头用来描述输入进入系统,以及

电子商务企业文化中英文对照外文翻译文献

中英文对照外文翻译文 电子商务时代企业文化的再造 随着网络时代电子商务大规模发展,电子商务企业文化随之产生,它在一个企业在产生的一种新的价值观,使企业内部资源得到从新整合,在为企业带来降低交易成本,提高效率,缩短生产周期等诸多好处的同时,也对已有的企业文化发起了挑战。电子商务的兴起是一场由技术手段飞速发展而引发的商业运作模式的变革,传统经济活动的生存基础、运作方式和管理机制均发生了彻底改变,传统的企业文化也面临着巨大的冲击。 一、企业文化对企业价值的贡献 文化现象是一个国家和民族文明的主要见证。广义的文化,包括知识、信仰、艺术、道德、法律、习俗和任何人作为一名社会成员而获得的能力和习惯在内的复杂整体。作为“亚文化”的企业文化,对企业的生存与发展亦起着举足轻重的作用。企业文化是商品经济和市场经济的产物,符合市场经济的客观规律,体现企业的竞争实务、竞争精神和整体形象。所谓企业文化就是企业的经营管理哲学,企业面对所处的社会和商业环境,在长期的生产经营活动中,形成全体员工所接受和认同信守的、为争取事业成功的一套非正式规则。它表明企业奉行何种管理哲学,以及企业通过管理要达到一个什么样的目标。是经济管理的重要内容之一。企业文化意味着一个公司的价值观,而这些价值观成为公司员工活动和行为的规范。 企业文化的本源问题是如何增加企业利润,降低企业的成本和费用。它的要义就是怎么使企业能够有效的整合资源,以达到对外部的适应性,使公司在竞争中生存,进而实现持续发展。企业文化建设为企业开展文化管理指出一个明确的方向。企业文化建设的根本目的是建设能够对外竞争环境具有高度适应性,并能根据环境变换做出迅速反应的行为方式能力,这种能力其实就是企业所拥有的根据外部竞争的环境需要而对内部资源进行整合运用的能力。企业文化建设应促进这一能力系统的形成,并维持好这一能力系统。中国的许多企业例如海尔、联想等企业成功的秘诀之一就是发展了一整套公司理念、经营哲学,形成了自己独特的企业文化。 1、企业文化体现企业的形象和精神。树立良好的企业形象,需要企业文化的支撑。现

动画论文外文翻译

外文文献翻译 2.5.1译文:看电影的艺术 1930年代中期,沃尔特·迪斯尼才明确以动画电影娱乐观众的思想,动画片本身才成为放映主角(不再是其他剧情片的搭配)。于1937年下半年首映的动画片《白雪公主与七个小矮人》为动画片树立了极高的标准,至今任然指导着动画艺术家们。1940年,这一年作为迪斯尼制片厂的分水岭,诞生了《木偶奇遇记》和《幻想曲>。这些今天成为经典的作品在接下来的二十年中被追随效仿,产生了一系列广受欢迎的动画娱乐作品。包括《小飞象》,《灰姑娘》,《爱丽丝漫游仙境》,《彼得·潘》,《小姐与流浪儿》,他们的故事通常源自广为人知的文学故事。这些影片最不好的地方在于它们似乎越来越面向小观众。 在1966年第四你去死后,他的制片厂继续制作手绘动画影片,但是创作能量衰减,公司转而专注于著作真人是拍电影。然而1989年,对于我们所有孩子来说,动画《小美人鱼》赋予了迪士尼新的生命活力(就像animation这个词本身的定义一样——使有生命活力),从该片开始,出现了一系列令人惊叹不已的音乐动画片。两年后,《美女与野兽》问世,塔尔在制作过程中利用了计算机作为传统手绘技术的辅助手段,这部影片获得了奥斯卡最佳电影奖提名,它是第一部获此殊荣的动画片。更好的还在后面,就想着两部影片一样,后面紧接着出现的众多优秀作品——包括《狮子王》,《阿拉丁》,《花木兰》——延续了迪士尼的经典传统:大胆醒目的视觉效果、精致的剧本,以及我们在所有伟大的电影中,不管是动画还是其他类型中都能找到的普适性主题和出乎意料之处。迪士尼的新版《幻想曲》,又被称为《幻想曲2000》,把原版中的部分片段与新的创作部分糅合在一起。(而且,按照迪士尼管理层的说法,该片是首部在IMAX巨幕影院首映的剧情长片。) 亨利·塞利克执导了蒂姆·波顿出品的两部影片,即《圣诞惊魂夜》和《飞天巨桃历险记》——前者是一部完全原创的定格动画,影片画面有时渗透着无限的恐惧,后者改编自罗纳德·达尔的畅销儿童书,该影片以真人实景拍摄开始。《飞天巨桃历险记》对暴力画面和重大恐惧(比如说,离弃)的表达和处理毫无掩饰,表达的真实感受对成人来说和对儿童一样生动鲜明,而蒂姆·波顿的影片《僵尸新娘》,仅仅从名字上就已经显示出影片内容和该幽默表达的“成人”特

电气工程及其自动化专业_外文文献_英文文献_外文翻译_plc方面

1、 外文原文 A: Fundamentals of Single-chip Microcomputer Th e si ng le -c hi p m ic ro co mp ut er i s t he c ul mi na ti on of both t h e de ve lo pm en t o f t he d ig it al co m pu te r an d th e i n te gr at ed c i rc ui t a rg ua bl y t h e to w m os t s ig ni f ic an t i nv en ti on s o f t he 20th c e nt ur y [1]. Th es e t ow ty pe s of ar ch it ec tu re a re fo un d i n s in g le -ch i p m i cr oc om pu te r. So m e em pl oy t he spl i t pr og ra m/da ta m e mo ry o f th e H a rv ar d ar ch it ect u re , sh ow n in Fi g.3-5A -1, o th ers fo ll ow t he p h il os op hy , wi del y a da pt ed f or ge n er al -p ur po se co m pu te rs a nd m i cr op ro ce ss o r s, o f ma ki ng n o log i ca l di st in ct ion be tw ee n p r og ra m an d d at a m e mo ry a s i n t he P r in ce to n ar ch ite c tu re , sh ow n i n F ig.3-5A-2. In g en er al te r ms a s in gl e -chi p m ic ro co mp ut er i s c h ar ac te ri ze d b y t h e i nc or po ra ti on o f a ll t he un it s of a co mp uter i n to a s in gl e d ev i ce , as s ho wn in Fi g3-5A -3. Fig.3-5A-1 A Harvard type Program memory Data memory CPU Input& Output unit memory CPU Input& Output unit

电子信息工程文献专业英语中英互译

? . , . ? , a , a . , . ( ). a ( ). A . A . , , . : A " " . : a " " , a " " . "" . a 's . a " " 's . " " . ( ). . : a "" 's ; a "" a ; a "" . a , . a . 's , . a , a . , . A . , a . , , . Europe's a , a . a , ., . "'s a ," , , . " 's . 2002 . a ." , (), Toyota's 's 1, . 2010, a . . 2 , . , 's , . . , a Delphi , a a . " , , , ," . " ." Delphi '99. : , . . , . . " ," . , , Germany. "'s ." "

a ," , , , . a ( , , , ). . . , , a . $50 . Birmingham, England, 2000. 1995, Delphi, 7596 . 37 10 , . a . , a a , a , a , a a a . a a , a . , , . , , , . a , , , . , , a . : . . 电子动力转向系统 电子动力转向系统是什么? 电子动力转向系统是通过一个电动机来驱动动力方向盘液压泵或直接驱动转向联动装置。电子动力转向的功能由于不依赖于发动机转速,所以能节省能源电子动力转向系统是怎么运行?: 传统的动力方向盘系统使用一条引擎辅助传送带驾驶气泵,提供操作在动力方向盘齿轮或作动器的一个活塞协助驱动的被加压的流体。在电动液压的控制,一个电子动力方向盘包括一台电动机控制的一个高效率泵浦。由一个电控制器调控泵浦压力和流速来控制泵浦的速度,为不同的驾驶路况的提供转向。泵浦可以在汽车行驶低速时关闭以提供节能(在当代的世界市场上)。 电动控制转向使用电动机通过齿轮齿条机构直接连接以达到转向控制(无泵或液体)。多个电机驱动器和多驱动控制的实现是可能的。一个微处理器控制转向动态和驱动的工作。输入因子包括车速,转向,车轮扭矩,角度位置和转率。

电气自动化专业毕业论文英文翻译

电厂蒸汽动力的基础和使用 1.1 为何需要了解蒸汽 对于目前为止最大的发电工业部门来说, 蒸汽动力是最为基础性的。 若没有蒸汽动力, 社会的样子将会变得和现在大为不同。我们将不得已的去依靠水力发电厂、风车、电池、太阳能蓄电池和燃料电池,这些方法只能为我们平日用电提供很小的一部分。 蒸汽是很重要的,产生和使用蒸汽的安全与效率取决于怎样控制和应用仪表,在术语中通常被简写成C&I(控制和仪表 。此书旨在在发电厂的工程规程和电子学、仪器仪表以 及控制工程之间架设一座桥梁。 作为开篇,我将在本章大体描述由水到蒸汽的形态变化,然后将叙述蒸汽产生和使用的基本原则的概述。这看似简单的课题实际上却极为复杂。这里, 我们有必要做一个概述:这本书不是内容详尽的论文,有的时候甚至会掩盖一些细节, 而这些细节将会使热力学家 和燃烧物理学家都为之一震。但我们应该了解,这本书的目的是为了使控制仪表工程师充 分理解这一课题,从而可以安全的处理实用控制系统设计、运作、维护等方面的问题。1.2沸腾:水到蒸汽的状态变化 当水被加热时,其温度变化能通过某种途径被察觉(例如用温度计 。通过这种方式 得到的热量因为在某时水开始沸腾时其效果可被察觉,因而被称为感热。 然而,我们还需要更深的了解。“沸腾”究竟是什么含义?在深入了解之前,我们必须考虑到物质的三种状态:固态,液态,气态。 (当气体中的原子被电离时所产生的等离子气体经常被认为是物质的第四种状态, 但在实际应用中, 只需考虑以上三种状态固态,

物质由分子通过分子间的吸引力紧紧地靠在一起。当物质吸收热量,分子的能量升级并且 使得分子之间的间隙增大。当越来越多的能量被吸收,这种效果就会加剧,粒子之间相互脱离。这种由固态到液态的状态变化通常被称之为熔化。 当液体吸收了更多的热量时,一些分子获得了足够多的能量而从表面脱离,这个过程 被称为蒸发(凭此洒在地面的水会逐渐的消失在蒸发的过程中,一些分子是在相当低的 温度下脱离的,然而随着温度的上升,分子更加迅速的脱离,并且在某一温度上液体内部 变得非常剧烈,大量的气泡向液体表面升起。在这时我们称液体开始沸腾。这个过程是变为蒸汽的过程,也就是液体处于汽化状态。 让我们试想大量的水装在一个敞开的容器内。液体表面的空气对液体施加了一定的压 力,随着液体温度的上升,便会有足够的能量使得表面的分子挣脱出去,水这时开始改变 自身的状态,变成蒸汽。在此条件下获得更多的热量将不会引起温度上的明显变化。所增 加的能量只是被用来改变液体的状态。它的效用不能用温度计测量出来,但是它仍然发生 着。正因为如此,它被称为是潜在的,而不是可认知的热量。使这一现象发生的温度被称为是沸点。在常温常压下,水的沸点为100摄氏度。 如果液体表面的压力上升, 需要更多的能量才可以使得水变为蒸汽的状态。 换句话说, 必须使得温度更高才可以使它沸腾。总而言之,如果大气压力比正常值升高百分之十,水必须被加热到一百零二度才可以使之沸腾。

文献检索课程教学设计(全部)

《文献检索》课程教学设计 目录 绪论:文献(信息)检索的意义及基础 (2) 项目一科技文献检索方法和图书馆的科学利用 (8) 项目二常见化学化工科技论文的写作 (11) 项目三美国化学文摘的使用 (14) 项目四专利文献的查询 (17) 项目五标准文献的查询 (20) 项目六计算机信息检索的应用 (23) 项目七信息检索策略综合应用训练 (26)

徐州工业职业技术学院教学设计(讲稿)

教学内容与设计 绪论:文献(信息)检索的意义及基础 自我介绍 提问一:你会检索吗? 如果会,那么会用检索以下毕业专题的相关资料吗? ?杜仲叶中绿原酸的提取分离 ?有机废水处理工艺设计 ?蚕丝蛋白制备工艺研究 ?铁矿石含铁量测定方法新工艺 ?基因工程干扰素生产工艺研究 提问的目的:突出检索技术直接是为毕业专题服务,这是一门技术。提问二:信息检索课是什么? 学生如是说: 文献检索课程是井底之蛙的升降机,是雄鹰的翅膀,是横跨天堑的桥梁。 针对某一课题,通过电子检索查阅有关资料,才知道知识的浩瀚,才知道世界的宽广,才知道“山外青山,楼外楼”。 它是我在大学期间所学的最重要,最有用的课程之一,有了它,我们将会受益终生。教会我们一种方法,一种主动 了解外界,提高自己,放眼世界的方法。 检索不仅是我们学习的制胜法宝,更是一条贯穿我们生活的红线。正因为有了这门课的学习,现在大脑的检索意识 就比较强烈,越搜越快!前几天,问同学借自行车,他告 诉我车子大致地点,是永久牌,有车栏,略有一点蓝。到 了现场,脑海中一下就有了先找有栏的,再找蓝颜色的, 最后确定是不是永久的,很快就找到了。 我爱检索,就像爱自己的生命一样。 最后我想说,我们是幸运的!我们学到了一门真正有用的课,它对我的影响和帮助将是伴随我一身的。 提问三:借鉴与创新的关系? 科学研究是“站在前人肩膀上”的事业,而创新又是科学研究的灵魂,即要求“前无古人”。 时间分配 2min 引导学生回答 8min 10min 通过往届学生对信息检索课的评价能够激起学生学习这门课的兴趣,也可以突出这门课对个人的作用。 10min 通过提问让学生

跨境电商外文文献综述

跨境电商外文文献综述 (文档含英文原文和中文翻译) 译文: 本地化跨境电子商务的模型 摘要 通过对国际供应链的B2B电子商务交易量的快速增长和伊朗快速增加的跨境交易业务,跨境电商过程的有效管理对B2B电子商务系统十分重要。本文对局部模型的结构是基于B2B电子商务的基础设施三大层,消息层、业务流程层和内容层。由于伊朗的电子商务的要求,每一层的需要适当的标准和合适的方案的选择。当电子文件需要移动顺利向伊朗,建议文件的标准为文件内容支持纸质和电子文件阅读。验证提出的模型是通过案例研究方法呈现一到四阶段的情景。本文试图通过交换商业文件在贸易过程中这一局部模型,实现在全球电子贸易供应链更接近区域单一窗口建设的关键目标。 关键词:电子商务;跨境贸易;电子文档管理;国际供应链

1.简介 电子商务是关于在互联网或其他网络电子系统购买和销售产品或服务。术语B2B(企业对企业),描述了企业间的电子商务交易,如制造商和批发商,或批发商和零售商之间。本文的研究目标是上两个不同国家贸易商之间的通信。今天的世界贸易组织的主要目标之一是建立区域单一窗口,可以提高世界各地的贸易便利化。建立区域单一窗口需要跨境海关,可以有效地交换贸易文件。因此,首先,简化跨境贸易文件的关键在于朝着国家单一窗口移动。然后,区域单一窗口可以授权国家之间的通信。电子商务模型是基于三个主要逻辑层的研究。这三个层消息传输层,业务处理层和内容层。本文的局部模型是一种能够自动交换读取文件的过程。通过与东亚和中东国家的建立区域单一窗口可以在将来得到改善的更多的互操作性,从而建立伊朗国家单一窗口 在本文的第二部分讨论引进国际供应链中的跨境B2B模式所需的基本概念和标准。第三部分介绍在大的模型中引入的组件功能和范围。第四部分讨论了B2B交易层模型的定位,最后结束本文。 2.背景 在本节中,除了了解B2B电子商务在伊朗的情况,还有参考模型的背景等概念以及讨论B2B电子商务跨境模式的本土化。 2.1 B2B电子商务在伊朗 如今伊朗在贸易进程的变现是一个关键的贸易成功点。伊朗和许多其他国家接壤,它的进口和出口过程可以通过公路,铁路,海上和空中的方式来完成。因此,这个国家的中部和战略作用,使得它在亚洲和中东地区货物运输的主要贸易点。今天,在伊朗海关几乎所有的贸易过程通过纸质表格完成,由商务部提供的电子服务仅限于谁该国境内交易的商人。今天,伊朗海关几乎所有的贸易流程都是通过纸质表格来完成的,商务部给出的电子服务只限于该国的商人。介绍了模型试图简化在伊朗交易的跨境电子商务供应链交换电子文件的过程。这里提到的一些系统,由商务部在伊朗的电子服务被提及:进口订单管理系统。贸易统计制度。伊朗法典伊朗。这些电子系统的主要使用,以促进在伊朗贸易过程。这里提到的系统作为独立的贸易者可与建议本文模型在未来的作用。在亚洲的区域性单

中英文文献以及翻译(化工类)

Foreign material: Chemical Industry 1.Origins of the Chemical Industry Although the use of chemicals dates back to the ancient civilizations, the evolution of what we know as the modern chemical industry started much more recently. It may be considered to have begun during the Industrial Revolution, about 1800, and developed to provide chemicals roe use by other industries. Examples are alkali for soapmaking, bleaching powder for cotton, and silica and sodium carbonate for glassmaking. It will be noted that these are all inorganic chemicals. The organic chemicals industry started in the 1860s with the exploitation of William Henry Perkin’s discovery if the first synthetic dyestuff—mauve. At the start of the twentieth century the emphasis on research on the applied aspects of chemistry in Germany had paid off handsomely, and by 1914 had resulted in the German chemical industry having 75% of the world market in chemicals. This was based on the discovery of new dyestuffs plus the development of both the contact process for sulphuric acid and the Haber process for ammonia. The later required a major technological breakthrough that of being able to carry out chemical reactions under conditions of very high pressure for the first time. The experience gained with this was to stand Germany in good stead, particularly with the rapidly increased demand for nitrogen-based compounds (ammonium salts for fertilizers and nitric acid for explosives manufacture) with the outbreak of world warⅠin 1914. This initiated profound changes which continued during the inter-war years (1918-1939). Since 1940 the chemical industry has grown at a remarkable rate, although this has slowed significantly in recent years. The lion’s share of this growth has been in the organic chemicals sector due to the development and growth of the petrochemicals area since 1950s. The explosives growth in petrochemicals in the 1960s and 1970s was largely due to the enormous increase in demand for synthetic polymers such as polyethylene, polypropylene, nylon, polyesters and epoxy resins. The chemical industry today is a very diverse sector of manufacturing industry, within which it plays a central role. It makes thousands of different chemicals which

自动化外文翻译

电气工程与自动化学院 本科毕业设计专业翻译资料(中文读书报告) 学生姓名:王超杰 专业班级:自动化12-06班 学号:311208002219 2016 年 6 月11 日

原文: Design of Combustible Gas Detection system using Wireless Transmission Technology Shijiazhuang Universities of Economics, Hebei, China zkzhlp@https://www.doczj.com/doc/b215055847.html, Keywords:TGS813, AT89S52, DS18B20, nRF905, TC35i Abstract.The detection device of combustible gas are designed in the presented work,using wireless transceiver and GSM network.The system realize the wireless transmission of the gas concentration,and also can send alarm information to user’s mobile when an exception occurs. The system consists of two parts: a master and slave. The function of the slave is to collect data, process data and transffer the data to the master.The taskof the master is to receive data and display it by LED. The signal acquisition is completed by sensor TGS813 and A/D converter TLC2543. The wireless transmission is achieved through wireless transceiver nRF905. Since the accuracy of the sensor is affected by the environment,using DS18B20 to achieve temperature compensation. And with wireless communication module TC35i and GSM network platform, we can send the alarm information to user’s mobile promptly. Introduction Gas detection is widely used in petroleum, chemical, metallurgy, family, shopping malls, gas stations and other places. Currently, how to monitor the hazardous gas fast and accurately are the important issues. Although the gas detection technology is relatively mature, but most products has many shortcomings, such as single function, operating complex, bulky, expensive and low sensitivity. Wireless communication technology applied to the gas monitoring field, can resolve the problem of remote monitoring in special environment, such as high temperature, low temperature, toxic gas.and unable to wiring . In the presented work, the combustible gas detectoris fully functional (with wireless transceiver), simple, small size, low cost, and has high sensitivity. The equipment can greatly improve the system's detection capability and accuracy with temperature compensation algorithm, and also can send alarm information to the user's mobile phone promptly through the GSM network. System design The system consists of two parts as shown in Figure 1. Fig. 1 Overall system block diagram

外文文献—动画讲课教案

外文文献—动画

Animation Animation is the rapid display of a sequence of images of 2-D or 3-D artwork or model positions to create an illusion of movement. The effect is an optical illusion of motion due to the phenomenon of persistence of vision, and can be created and demonstrated in several ways. The most common method of presenting animation is as a motion picture or video program, although there are other methods. Early examples An Egyptian burial chamber mural, approximately 4000 years old, showing wrestlers in action. Even though this may appear similar to a series of animation drawings, there was no way of viewing the images in motion. It does, however, indicate the artist's intention of depicting motion. Five images sequence from a vase found in Iran There is no single person who can be considered the "creator" of film animation, as there were several people working on projects which could be considered animation at about the same time. Georges Méliès was a creator of special-effect films; he was generally one of the first people to use animation with his technique. He discovered a technique by accident which was to stop the camera rolling to change something in the scene, and then continue rolling the film. This idea was later known as stop-motion animation. Early examples of attempts to capture the phenomenon of motion drawing can be found in paleolithic cave paintings, where animals are depicted with multiple legs in superimposed positions, clearly attempting to convey the perception of motion. An Egyptian burial chamber mural , approximately 4000 years old, showing wrestlers in action. Even though this may appear similar to a series of animation drawings, there was no way of viewing the images in motion. It does, however, indicate the artist's intention of depicting motion. A 5,000 year old earthen bowl found in Iran.It has five images of a goat painted along the sides. This has been claimed to be an example of early animation. However, since no equipment existed to show the images in motion, such a series of images cannot be called animation in a true sense of the word. A Chinese zoetrope-type device had been invented in 180 AD. The phenakistoscope, praxinoscope, and the common flip book were early popular animation devices invented during the 19th century. These devices produced the appearance of movement from sequential drawings using technological means, but animation did not really develop much

跨境电商外文翻译参考文献

跨境电商外文翻译参考文献(文档含中英文对照即英文原文和中文翻译)

译文: 跨境电子商务在欧盟的发展动力和壁垒 摘要 互联网的兴起,往往是与“距离的消亡”或至少减少相关的地理距离在供应信息相关。我们研究距离 事宜仍在实物商品的网上交易是否。我们使用的数据从一个网络消费者调查小组对网上跨境货物贸易中的 一个语言支离破碎的欧盟市场。分析结果表明,相比线下交易在同一商品的距离相关的交易成本大大降低。然而,语言相关的交易成本的增加。此外,网上交易介绍新能源贸易成本如包裹递送和在线支付系统。在 平衡,没有迹象显示在线贸易不偏向于国内市场的产品比线下交易支持。我们提供给政策制定者推动欧盟数 字单一市场的跨境电子商务的选项。在高效灵活的跨境支付系统的使用增加1%可以增加多达7%的跨境电子 商务。我们还表明,在线交易给英语语言输出国家的比较优势。 关键词电子商务/引力方程/欧盟 1.介绍 本文实证研究的在线电子商务跨境贸易模式的影响。互联网的兴起,更一般地,数字通信技术,具有LED许多观察家宣布,距离“死”(Cairncross,1997)。在这方面,它不在乎信息所在的位臵因为它只是一个鼠标点击和信息成本不再是物理距 离有关。在传统的线下实物商品贸易,证据却指向距离成本增加(disdier和头,2008)。贸易相结合的基础上的信息和物理的货物运输。问题是是否将贸易从线 下到线上平台是一个足够大的凹痕在信息成本改变贸易总成本因此货物贸易模式。Blum 和Goldfarb(2006)表明,即使是纯粹的信息产品,距离仍然起着重要的 作用。他们认为这是文化上的差异,随着物理距离的增加。除了信息成本的影响, 可能会有副作用,对贸易模式的影响。网上贸易开辟了一个潜在的更大的地理汇水 面积,为供应商和消费者,在产品品种和价格竞争的增加。这两

文献翻译----电子商务中英文对照

外文文献及译文 文献、资料题目:Electronic Commerce 外文文献: Electronic Commerce Electronic commerce, or Electronic trade, or electronic business as a newly rising mode of commerce will have far-reaching influence on social economy and play an important role in social development worldwide. It represents the trend of world trade in the 21st century and beyond. 1. What is Electronic Commerce? Electronic commerce refers to commercial data exchange in digital form through electronic transmission means and commercial activities conducted on-line. Usually, electronic commerce can be divided into two levels: One is low-level electronic commerce that is, electronic commercial intelligence, electronic trade, and electronic contracts. Another is high-level electronic commerce which includes all commercial activities done via Internet, ranging from searching for clients, commercial negotia-tion, making orders, on-line payment, releasing electronic invoice, to electronic dec-laration to Customs, electronic tax-payment, all conducted on Internet. Electronic commerce means electrification of all trade transactions. It is featured by these characters: ①fairness and freedom, ②high efficiency, ③globalization, ④virtualization, ⑤interactivity, ⑥autonomy, ⑦personalized service. With electronic commerce, clients and

自动化专业英语 翻译

1.1 In recent years the performance requirements for process plant have become increasingly difficult to satisfy. Stronger competition, tougher (更加严苛的) environmental and safety regulations (法规), and rapidly changing economic conditions have been key factors in the tightening of plant product quality specifications (产品质量规范).A further complication (复杂) is that modern processes have become more difficult to operate because of the trend toward larger, more highly integrated plants with smaller surge capacities (谐振能力) between the various processing units. Such plants give the operators little opportunity to prevent upsets (扰乱) from propagating from one unit to other interconnected units. In view of (考虑到,由于) the increased emphasis placed on safe, efficient plant operation, it is only natural that the subject of process control has become increasingly important in recent years. In fact, without process control it would not be possible to operate most modern processes safely and profitably (有利的), while satisfying plant quality standards. 近年来,对过程系统的性能改善需求变得越来越困难.更为激烈的竞争,更加严格的环境和安全规范,以及快速变化的经济条件都是加强工厂产品质量规范的关键因素更为复杂的情况是,由于现代制造业朝着规模更大,集成度更高的方向发展,而使不同的加工环节之间的应变能力更低, 所以加工过程更难控制近年来,考虑到工业制造逐渐加强的安全、高效需求,过程控制这个课题变得越来越受重视. 实际上,对于大多数现代工业,要满足安全、高效,产品质量的要求,没有控制系统是不可能的. It is assumed that the inlet and outlet flow rates are identical (相同的) and that the liquid density ρ (rho) remains consant,that is, the temperature variations are small enough that the temperature dependence of ρ can be neglected. Under these conditions the volu me V of liquid in the tank remains constant. 假设输入和输出流量是相等的,并且液体密度保持恒定,也就是说温度变化足够小,密度对温度的影响可以忽略不计. 在这些条件下,槽内液体的体积保持恒定 Use a larger tank. If a larger tank is used, fluctuations (波动) in Ti will tend to be damped out (阻尼,衰减) due to the larger thermal capacitance of the tank contents. However, increased volume of tankage would be an expensive solution for an industrial plant due to the increased capital costs of the larger tank.Note that this approach is analogous to the use of water baths in chemistry laboratories where the large thermal capacitance of the bath serves as a heat sink (散热装置) and thus provides an isothermal (恒温的) environment for a small-scale research apparatus (仪器). 使用一个更大的槽. 如果使用更大的槽,因为更大的热容,Ti的波动会趋向于衰减. 然而,体积增加使得开支增加,会使工厂系统的解决方案变得更加昂贵.要指出的是这个方法类似于化学实验室中水缸的使用,水缸的大热容量可以看作散热装置,因此可以为小型研究仪器提供一个恒温环境. Note that in feedforward control, the controlled variable T is not measured. 在前馈控制中,被控变量T是没有被测量的. 1.2 The motivation of using feedback, illustrated (说明) by the examples in Section (1), is somewhat oversimplified.In these examples, the use of feedback is shown to be for the purpose of reducing the error between the reference input and the system output.However, the significance of the

相关主题
文本预览
相关文档 最新文档