当前位置:文档之家› 半导体-电子行业--中英文用语对照表

半导体-电子行业--中英文用语对照表

英 文专 有 名 词PR:Purchase Request PO:Purchase Order Form LOI

PC

MCC

WGC

FMCS

TLV

inconel

TCP/IP

FDDI

DSL

CSMA/CD

HVAC

GMS

F4C

GR

FW

LO

CD

NET

EE

SD

ECP

EMO

RIO

MP

UP

EQ

TR

ETR

CTR

S

IS

ES

IES

GC

GR

VMB

VMP

VDB

VDP

SCR

VG

LAU

D

R

SEI

DMC PLC SCADA HMI

DET

Thin Film ETCH LITH CVD

EPI Implanter CMP RTA COPPER PHOTO METAL DCVD DIFF WET

PU

SEN SEX

AEX GEX

OA

EF

PDT CDA

CM

CR

DI

EL

EXH GAS PCW PWW UPW MSB SMIC MAU AHU

LOHAS

从沙子到CPU

Wafer

Photo Resist

Mask

Organization Manufacturing Department Production Management Department

Manager

Minister

section chief

Section Manager Supervisor

Line Leader

Operator

Organization Manufacturing Department Production Management Department

Manager

Minister

section chief

Section Manager Supervisor

Line Leader

Operator

TFT(Thin Film

LCD( Liquid Crystal Display)

LED(Light Emitting Glass,substrate or glass substrate

C/F

Panel

Module

Monitor

NB

TV

Resolution

Active Area

Aperture Ratio

Black Matrix

Luminance

Gray Level

Response Time

Contrast Ratio

View Angle

Uniformity

Pixel and Dot

RGB (Red,Green,Blue) Pitch

Model

Super VGA

SXGA

SXGA+

UXGA

Operation

Material

Standard

Yield

Quality

CIM (Computer Integration Manufacturing)

FA (Factory Automation) Entry

Exit

Precaution

Warning

Emergency

Alarm

PM (Preventive

Run

Down

E-Time

Idle

Clean room

Smock room

Particle

HEPA (High Efficient Particulate Air) filter Contamination Temperature (TEMP) Humidity

Pressure

UPW (Ultra-Pure Water) DIW (De-Ionized Water) IPA (Isopropyl Alcohol) Sticky mat

Cleanliness

ESD (Electro-static Discharge)

Alcohol

Acetone

Dust

Air shower

Prohibit

Clean suit (bunny suit,dust-free garment) Glove

Hairnet

Hood

Mask

Clean shoes (dust-free shoes,boots)

Vacuum cleaner

Dryer

Clean Wiper

AGV (Automatic Guided Vehicle)

OHS (Overhead Shuttle) OHT (Overhead Transfer) Stocker (clean depot) Battery

Bay

Controller

Conveyor

Shelf

Crane

FFU (Fan Filter Unit) Host

I/O (Input / Output)

Inter-bay

Intra-bay

IR (Infra-Red)

Retrieve

SCARA arm

Reset

Transportation

Array Line

Cell Line

Module Line

Test Line

C/F Line

Polarizer (POL)

Polarizer (POL) Backlight (B/L)

Lot

Recipe

Stock out

Request

Transfer

Instruction

Select

Cancel

Operation

Support

Process

Start

Comp

Batch

ID (Identity)

Sheet

Chip

Inspection

Defect

Production

Hold

Release

Equipment

Tool

WIP (Work In Process) Cassette

Empty

Reserve

Report

Scrap

Rework

Log on

Log off

Metal

Metal

Target

MoW (Moly-tungsten) Mo (Molybdenum)

ITO (Indium Tin Oxide) Al (Aluminum)

AlNd (Aluminum and Neodymium Alloy) Reticle or Mask Detergent

Note

LAL-50

O3 ( Ozone )

NBA (1-butyl Acetate) Resist or Photo Resist HMDS

AC-1

TMAH

Oxalic Acid (H2C2O4) DHF

ITO-Etchant

BHF

Al-Etchant

IPA

N-300

(Process) Gas

SiH4

NH3

N2O

PH3

N2

H2

NF3

Kr

Ar

O2

BCl3

SF6

He

Cl2

HCl

CF4

Equipment

Vender(Vendor)Cleaner

CVD (Chemical Vapor Deposition)

Sputter

Stripper

Coater

Pre-bake

Stepper

Exposure

Backside-Exposure Titler

Edge Remover

Edge Exposure Developer

Hard bake

Etcher

Wet Etch

Dry Etch

Plasma

RIE (Reactive ion etching) PE (Plasma Etch)

ICP (Inductive Coupled Plasma)

O3 Asher

Tester

Anneal

AMSR (Sheet Resistance) ATOS (Open/Short Tester) ATTG (TEG Tester or TFT Device Measurement) ATAR (Array Tester) ALSR (Laser Repair) ANNI (Anneal Oven) AMGI (Particle Counter) AMOR; AMKL (Pattern Inspection)

AMSP (Surface Profiler) AMOV (CD/Overlay) AMSH (Microscope) AMEL; AMOT (Film Thickness)

AMVI (Visual Inspection) CJ

MS

Spin

Chamber

Load Lock简称LL

Heat

Cool

Probe

Spec

Pin-Hol

PEP (photo engraving process)

MI

MII

a-Si (amorphous silicon) n+ (或n+a-Si)

SiON (应写为 SiOxNy 因O,N的比例不一定)

SiNx (x为 Si 与N的比例) Brush

Vent

Cleaning

Purge

Rinse

Veri-Code

Deposition

Vacuum

Uniformity

Etching Rate

Inspection

Coating

Alignment

CD (critical dimension) Overlay

Cure

Bake

PI (polyimide)

Detergent

γ- Butyrolactone

Label

Attach

Rubbing cloth

PS (Photo Spacer) Transfer 或 Conductive Paste 或 Ag paste

UV sealant

Polyfron

LC (Liquid Crystal) Polarizer

CDA (Compressed Dry Air) Seal

Spacer 或 MP (Micro Control box

Valve

Breaker

Clean booth

FEOL (Front End of Line) BEOL (Back End of Line) Scribe (1st scribe,2nd scribe)

Break (1st break,2nd

PI Post-bake

Rubbing

Grind

Seal Pattern,Seal

Spacer Sprayer

End Seal

Alignment

Seal Pre-bake

Vacuum Anneal

Injection

Cell

Backlight

Visual inspection

Bezel

Driver IC

Soldering

Assembly

Aging

Packing

Screw

Tape

FPC (Flexible Printed

PCB (Printed Circuit

TAB (Tape Automated Bonding)

OLB (Outer Lead Bonding) ILB (Inner Lead Bonding) COG (Chip on Glass)

TCP (Tape Carrier Package ACF (Anisotropic Conductive Film)

W/S

E/S

P/P

BOM

Part List

Chromaticity

Color Gamut

Brightness

CR

Crosstalk

Chromaticity

Color Gamut

Brightness

CR

Crosstalk

Flicker

ECN

PCN

SPC

Sigma (σ)

OJT

Sampling

Sampling Plan

MRB

UAI

LRR

Supplier

FG-CODE

AQL

CAR

Line Patrol

NCR

Workmanship

CS

DOA (Defect)

Field Defect

Epidemic Failure RMA

RM

Warranty

In-warranty

Out of Warranty

Out of Warranty TAT

Quality Control IQC

IPQC

OQC

Waive

CAR

MURA

X/Y Block

IDD

Data Loss

DDC

Wave

Common Short Data Open

Zaratsuki

Gate Open

ESD

Flicker

X-Talk

(Cross-Talk)

DG Short Pattern

Cell Gap 不良Stain

Scratch

Crack

Cross Talk Pattern

Open

Pixel Defect Remain

中文说明

采购申请单,公司内部使用;

采购订单,公司对外使用。Purposive:有目的的,故意的

LETTER OF INTENT 采购意向书

Power Center 柜电源配电柜

Motor Control Center 柜电动机控制中心柜

值班室

Facility Monitoring Control System 工厂设备监控系统/厂务监控系统/Facility

threshold limit value

高镍耐腐蚀耐高温合金镍铬合金,在高温下具有良好的抗氧化性、抗腐蚀性。应用范围包括熔炉部件、化学和食物加工以及核工业

TCP/IP(Transmission Control Protocol/Internet Protocol)的简写,中文译名为传输控制协议/因特网互联协议,又叫网络通讯协议,这个协议是Internet最基本的协议、Internet国际互联网络的基础,简单地说,就是由网络层的IP协议和传输层的TCP协议组成的。

Fiber Distributed Data Interface缩写,光纤分布式数据接口

Digital Subscriber Line 数字用户回路;ADSL(非对称用户数字线)

载波侦听多路访问/冲突检测(Carrier Sense Multiple Access with Collision Detection,Heating, Ventilating and Air Conditioning 采暖通风与空调

Gas Monitoring System

FAB4C

Gas Room

Framework or Architecture

Layout

Circuit Diagram

Network

Eletricity

Single Line Diagram

Electronic Control Panel

Emergent Manual Operation Panel

Remote Input & Output Panel

Main Power Panel

UPS Power Panel

Equipment

Tray

Eletricity Tray

Communication Tray

Source

Input & Output Signal Source

Power Source

IO & Power Source

Gas Cabinet

Gas Rack

VALVE MANIFOLD BOX

VALVE MANIFOLD PANEL

VALVE DISTRIBUTING BOX

VALVE DISTRIBUTING PANEL

Scrubber

Vacuum Gauge

Location Alarm Unit

Drager

RIKEN

Seismometer

Data Manager Control Dedicated Module Controller (DMC) Program Logic Control

Supervisory Control And Data Acquisition / 管理控制和数据获得Human Machine Interface

Detector

薄膜式蒸发

Etching 蚀刻版画, 蚀刻术, 铜版画

Lithography 平版印刷术

沉积

离子注入掺杂

光刻黄光

金属

DIFFUSSION 漫射, 扩散,炉管

wetteroff (玻璃制品制做过程中的)打口工

蒸镀

分析

酸性通风管路

碱性通风管路

一般通风管路

Outside Air Duct 新风

Exhaust Fan / 排风扇

压力传感器

Clean Dryer Air Supply System

Chemical Supply System

Clean Room

DEIONIZED WATER SYSTEM

EXHAUST

气体

Process Cooling Water System

Process Waste Water System

超纯水

Most Significant Bit

Semiconductor Manufacturing International Corporation

Makeup Air Unit

Air Handler Unit

Lifestyles of health and sustainability的头一个字母组成,是指一种重视环境和健康,崇尚可持https://www.doczj.com/doc/b04112364.html,/1/139/139076.htm

晶圆

光刻胶

掩模

组织

制造部

生产管理部

经理

部长

科长

助理(公司中称为主任)

管理者

组长

作业员

组织

制造部

生产管理部

经理

部长

科长

助理(公司中称为主任)

管理者

组长

作业员

薄膜晶体管,一般代指薄膜液晶显示器

用电场、磁场等外部影响,改变液晶分子排列,改变液晶分子结构的光学特性后,利用其明暗效果的显示元件

发光二极管,是一种固态的半导体器件,它可以直接把电转化为光

玻璃基板,缩写GLS

Color Filter的简称,制造Color 液晶显示元件时使用的主要原材料,指按一定的顺序排列了Red,Green,Blue像素的薄膜

面板,缩写PNL,常指独立的液晶屏幕

模组,指后段组装制程

监视器,显示器

Notebook的简称,笔记本电脑

Television的简称,电视机

分辨率,解析度

有效显示区,即可显示文字图形的总面积

开口率,即每个像素可透光的有效区域除以像素的总面积,开口率越高,整体画面越亮

黑矩阵,用来遮住R、G、B 各Pixel 间之空隙,可大幅减少LCD光点间彼此干扰所产生的光明亮度,指产品本身的可见亮度

灰度,用黑色调表示物体 每个灰度对象都具有从 0%(白色)到 100%(黑色)的亮度值响应时间,液晶由暗转亮(Rising )或者是由亮转暗( Falling)的反应时间,分别为上升

对比度,白色画面(最亮时)下的亮度除以黑色画面(最暗时)下的亮度

视角,面对屏幕,往其上、下、左、右四方观测,调整此屏幕直到其无法由此四方看到屏幕画面之角度

均匀度 ,画面的均匀度

Pixel 是Picture Element的简称,Color Filter Stripe上的3个R、G、B Dot统称为单位像素,Dot (or Sub-pixel)指上述R、G、B 的各个像素

指红、绿、蓝三原色

尺寸

型号

显示器之显像分辨率单位 ,其横向扫描线数目为600条,纵向画素为800 颗

显示器之显像分辨率单位 ,其横向扫描线数目为800条,纵向画素为1400 颗

显示器之显像分辨率单位 ,其横向扫描线数目为1020条,纵向画素为1400 颗

显示器之显像分辨率单位,其横向扫描线数目为1400条,纵向画素为1600 颗

活动,操作

材料,物料

标准(指作业标准或品质指标)

良品率

品质,质量

电脑整合制造系统(指以电脑系统整合制造流程)

工厂自动化

入口

出口

预防措施

警告

紧急(常用在设备的紧急按钮处)

警报

预防保养

运行

停机(一般为设备故障原因)

Engineer 测试时间

空闲,闲置

洁净室

更衣室,出入Clean Room 换着Smock的场所

微粒子,是大气灰尘及装备/DI Water产生的污染物质等的总称,通常指大小在0001~1000um

高效能粒子空气过滤网

污染

温度

湿度

压力

超纯水(产线内较少使用)

去离子水(如更衣室等处使用)

异丙醇(擦设备或地板使用,有一定腐蚀作用,在去光阻制程中亦用来清除玻璃基板上的有机残留物)

脚踏粘垫

洁净度

静电破坏

酒精

丙酮

灰尘

气浴室(风淋间)

禁止

无尘衣

手套

网帽

头罩

口罩

无尘鞋

真空吸尘器

烘干机

无尘布

自动搬运车

轨道行走的天车

吊行的天车

物料存储系统,现行Line为放Cassette(盒子)的暂存区,缩写为STK

电池

作业区(区域)

控制器

输送带

Stocker内存储CST的位置

搬运车(在Stocker內)

风扇过滤单元

主机

输入/输出

作业区和作业区之间

作业区之内

红外线

【电脑】检索,截取(资料)

AGV之传送手臂

重新设定,重启

传输

阵列产线,为进行Thin Film、Photo、Etch工艺的生产Line是Array Line,主要制造Glass上面TFT (Thin Film Transistor) 结构

成盒产线,进行PI Coating、Rubbing、Filling、Seal/TR印刷工艺等生产Line是Cell Line,主要生产 TFT-LCD Cell状态产品的过程

模块产线,是用TCP (Tape Carrier Package) 将PCB与CELL进行连接,并使用BEZEL进行组装的Ass’y 工艺等的生产Line ,是生产TFT Module产品的过程

检测产线,对Array Line各层及Cell Line各工序不良产生及稳定性检验的系统产线,起不良反彩膜产线,制造Color 液晶显示器时使用的主要原材料生产线,指按一定的顺序排列了Red,Green,Blue像素的薄膜

将入射光分为2个直交的偏光,透射其中特定方向的光线,吸收或分散别的光线后,将透射光

偏振光的高分子Film

为了给 LCD提供光源,在Panel后部组装的发光部件,有EL,LED,CCFL 等

以一定的目的捆绑为一个单位的状态制造工艺上考虑作业时间及库存等情况后决定最佳的 Lot Size,并使用

程式,制程参数

将Cassette 取出

请求,要求

传送,运送

命令,指令

选择

取消

作业,操作

支援

工艺,制程

开始

Completion 的缩写,意指完成

批量

识别码(如Lot ID or Chip ID)

片(Array 区玻璃基版计数单位)

片(Cell 区玻璃计数单位)

检验

不良,缺陷,Line内应用时指制程不良等

生产

留置在当前工序(如有品质问题时或以示区分时)

将Hold 住的产品释出,放行

设备(简称为EQP)

工具

在工,在制品(制程在制品)

装在制品的盒子

空的,清空

预约

报告

报废,废弃处理

返工,修复后再工艺

登录

退出

金属

金属

靶、目标

钨化钼

铟锡氧化物

铝和钕的合金以上皆为溅镀机金属靶的材料之一

光罩

界面活性剂的一种

注解

含NH4F与HF,为清洗机用来清洗玻璃表面氧化层的化学溶液

臭氧,主要为各制程用来清除有机物的污染或残留

乙酸正丁酯,主要用来清洗旋转涂布光阻时残留在玻璃边缘的光阻液

光阻(简称PR)

Hexamethyldisilazane的简写,为一种化学中间体,用以增加光阻涂布时对晶片表面之附着力带静电防止剂(ESD-Preventer),在上光阻机內使用,防止静电产生,破坏玻璃元件

Tetra-Methyl Ammonium Hydroxide 的简写,为厂內所使用的显影液

草酸,湿蚀刻机中用来蚀刻5PEP中的a-ITO 膜

成份为49%氢氟酸HF,主要为湿蚀刻机中用来蚀刻7PEP 中的SiNx 膜

成份中含盐酸HCl 及硝酸HNO3,主要用来蚀刻7PEP 中的Poly-ITO

成份中含氟化铵NH4F 及HF,主要用来蚀刻7PEP中的SiON

成份中含乙酸CH3COOH、磷酸H3PO4 及硝酸HNO3,主要用来蚀刻Mo/Al/Mo 的沉积层

异丙醇 Isopropyl Alcohol 的简称,主要用来作为设备擦拭液,在去光阻制程中亦用来清除玻璃基板上的有机残留物(如光阻或去光阻液)

去光阻液,N-300 为厂商型号,成份为单乙醇铵与单丁醚的混合物

(制程)气体…目前大多数种类的气体,多为提供CVD,Sputter 及干蚀刻电浆源之用

矽甲烷……制程气体(洩漏有爆炸危险)

氨……制程气体

笑气……制程气体

磷化氢……制程气体

氮气……制程气体,常用为破真空Vent 或吹干的媒介

氢气……制程气体

氟化氮……制程气体,常用为清除CVD 反应室壁沉积矽Si 媒介

氪气……制程气体,用来轰击溅镀机上的金属靶

氩气……制程气体,用来轰击溅镀机上的金属靶或常用为加热设备的热传媒介

常用来作电浆的基本组成

氯化硼……制程气体,在干蚀刻中用以作为蚀刻AlNd 的电浆源

氟化硫……制程气体,常用的主要干蚀刻电浆源以为提供蚀刻主原料氟的来源

氦气……制程气体,混合在其它制程气体中,共同形成电浆源,使电浆组成分布均勻

氯气……制程气体

氯化氢……制程气体,刻蚀n+时的电浆源之一

四氟化碳……制程气体,常用的主要干蚀刻电浆源以为提供蚀刻主原料氟的来源

机台(仪器)

厂商,供货商

清洗机

化学气相沉积

溅镀机

去光阻机

上光阻机

预烘

步进式曝光机

曝光

背面曝光

刻号机,Line内显影机具有此功能,将玻璃基板的Chip ID、Glass ID 及Veri-Code 曝出,以简称ER,指在旋转涂布光阻后,用NBA 洗淨残留在玻璃边缘的光阻

边缘曝光,指在显影前将玻璃基板边缘光阻较厚的部分再曝光,以防曝光量不足,造成光阻在显影后残留

显影机

硬烤

蚀刻机

湿法刻蚀(通常为药液刻蚀),Line内多称为湿刻

干法刻蚀(通常为气体刻蚀),Line内多称为干刻

电浆

反应性离子蚀刻

电浆蚀刻机

电感偶式电浆蚀刻机

为去光阻机的模组之一,用来去除制程的有机残留

测试机

退火

AMSR (Sheet Resistance)

断短路测试机

TFT的电性测试设备

Array Defect 的测试设备

激光修复机

退火设备

微粒子侦测,侦测玻璃表面微粒子数目及大小分布

图案或线路检验设备; 主要在检视沉积膜后、曝光后、蚀刻后及去光阻后表面的线路图案检查(前者简称 Orbo,后者简称KLA)

表面轮廓检查机,测量线路图案的高低分布狀況,亦可借此求得蚀刻速率(简称KLA-Tencor)量测设备用以测量关键线宽CD,及借量测Box重叠狀況来检视Stepper的精度

高倍显微鏡,主要在检视曝光后、蚀刻后及去光阻后表面的线路图案检查(简称Olympus)

膜厚量测仪(前者简称Sopra,后者简称Nano)

目视检查机,Array段制程的最后出货前检查

指高压水洗

指超音波水洗

旋转(如Spin Dryer:高速旋干器)

反应室(如CVD,Sputter或干法蚀刻)

闭锁,为大气进入真空或真空进入大气的媒介

加热

冷却

(测试机的)探针

制程的品质标准

针点小凹陷

完成一次黃光制程叫做一个PEP

第一次沉积的(栅极)金属膜如MoW

第二次沉积的(源极和漏极)金属膜如MoAlMo

非结晶矽,TFT沉积层之一

掺杂磷的非结晶矽,TFT沉积层之一

相关主题
文本预览
相关文档 最新文档