当前位置:文档之家› 电子设计自动化实验报告

电子设计自动化实验报告

电子设计自动化实验报告
电子设计自动化实验报告

电子设计自动化实验报告(一)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:4选1多路选择器

二、实验目的:熟悉并掌握verilog关于组合电路描述的核心语法规则和基本设计方法。

三、实验程序:module MUX41a(a,b,c,d,s1,s0,y);

input a,b,c,d;

input s1,s0;

output y;

reg y;

always @(a or b or c or d or s1 or s0)

begin : MUX41a

case({s1,s0})

2'b00: y<=a;

2'b01: y<=b;

2'b10: y<=c;

2'b11: y<=d;

default: y<=a;

endcase

end

endmodule

四、实验仿真结果:

五、仿真结果分析:如上图所示,当s0=0、s1=0时,y选择a输出;当s0=1、s1=0时,y 选择b输出;当s0=0、s1=1时,y选择c输出;当s0=1、s1=1时,y选择d输出。程序能够实现4选1多路选择器功能。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把程序中的输入输出端给锁定,编译并下载程序,依次设定s0,s1的值,观察现象是否符合程序的结果。

七、总结:通过对4选1多路选择器的verilog设计,我对verilog语句的基本结构、语句表达、数据规则和语法特点有了一个比较简单的认识,同时也感受到了verilog语句的魅力,这促使着我努力把它学好的决心。

电子设计自动化实验报告(二)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计。

二、实验目的:讨论学习经典数字计数器的Verilog描述方法和相关语法。

三、实验程序:module CNT10(CLK,RST,EN,LOAD,COUT,DOUT,DATA);

input CLK,EN,RST,LOAD;

input [3:0] DA TA;

output [3:0] DOUT;

output COUT;

reg [3:0] Q1;

reg COUT;

assign DOUT = Q1;

always @(posedge CLK or negedge RST) begin

if(!RST) Q1 <= 0;

else if(EN) begin

if (!LOAD) Q1<= DATA;

else if (Q1<9) Q1<=Q1+1;

else Q1 <= 4'b0000;end

end

always @(Q1)begin

if (Q1==4'h9) COUT = 1'b1;

else COUT = 1'b0;end

endmodule

五、实验仿真结果:

六、仿真结果分析:

(1)RST在任意时刻有效时,如CLK非上升沿时,计数也能清0。

(2)计数器正常计数到RST=0时,4位输入数据DA TA被清零,之后在LOAD=1后计数器重新计数,如图所示计数从0000加载到0100的时序。计数到9时,COUT输出进位1。(3)当EN=1,LOAD=1,RST=1时,计数正常进行,在计数数据等于9时进位输出高电平。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把程序中的输入输出端和板子的相应引脚给锁定,编译并下载程序,定好DA TA,选择合适的CLK,手动改变EN和LOAD的值,观察实验现象看是否符合程序的结果。

七、总结:通过对带有异步复位、同步计数使能和可预置型十进制计数器的verilog设计,我对verilog描述方法和相关语法有了一个较为深入的认识。这将让我对之后课程的学习和深入探讨提供一个基础,能更好地学习EDA这门课程。

电子设计自动化实验报告(三)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:基于原理图的8位全加器层次化设计

二、实验目的:学会利用原理图输入法进行底层元件设计和层次化设计来设计系统

三、原理图:

(1)半加器(h_adder)

(2)全加器(f_adder)

(3)8位加法器

七、实验仿真结果:(1)半加器

(2)全加器

(3)8位全加器

五、仿真结果分析:如上图所示,8位全加器是由八个1位全加器构成,一个1位全加器是由两个1位半加器和一个活门构成。构成8位全加器的每一个1位全加器的进位输出端接入到下一个1位全加器的进位输入端(其中第一个1位全加器的进位输入端接地),8个相连就得到8位全加器。所以设定a、b的值后,a和b相加,从低位开始相加,有进位的就进位到高一位,以此类推,如果最高位有进位的进位到output。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件并把硬件的引脚与程序中的输入输出端锁定,编译并下载程序,通过按键设定a、b的值,然后开始测试,观察实验现象,看现象是否符合程序的结果。

七、总结:此次实验中使用原理图的方法实现了多层次的设计,完成了8位全加器的设计。对比前面的学习可以发现,例化语句的文本方式也可以实现同样的功能,即Verilog文本设计中可用例化语句调用原理图构成的元件;反之,原理图中也能调用文本程序构成的元件。

这对比我们学习Verilog这门语言来实现EDA技术提供了很大的方便。

电子设计自动化实验报告(四)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:判断输入的一个数,基数有输出,偶数无输出

二、实验目的:学会设计分频电路

三、实验程序:module FDIV0(clk,d,dout);

input clk;

input [7:0]d;

output dout;

reg [7:0]cnt;

reg full,dout;

always @(posedge clk)

begin

if (cnt==8'b11111111) begin cnt<=d;full<=1'b1;end

else begin cnt<=cnt+1;full<=1'b0;end

end

always @(posedge full)

begin

if (d&00000001)

dout<=~dout;

else dout=0;

end

endmodule

八、实验仿真结果:

五、仿真结果分析:如上图所示,设定好时钟clk、输入值d。当if(d&00000001) 为真,即输入d为奇数时,dout有输出且输出为方波;当if(d&00000001) 为假,即输入d为偶数时,dout没有有输出。程序能够实现判断输入数是奇数还是偶数功能。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把硬件的引脚与程序中的输入输出端锁定,编译并下载程序,设置好时钟clk和输入数d,板子上电测试,检测dout端,看输入是一个奇数是否有方波产生,输入是个偶数时是否有输出,从而判断程序是否能够实现功能。

七、总结:通过对本次实验对分频电路的学习,我发现实用数字系统设计中常需要完成不同类型的分频,学习分频电路的设计,我们就可以更好实现电路的分频功能。

电子设计自动化实验报告(五)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:设计占空比为40%的方波发生器

二、实验目的:学会调用LPM模块类存储器来设计涉及RAM和ROM等存储器应用的EDA 设计开发。

三、实验程序:

九、实验仿真结果:

十、仿真结果分析:设置时钟inclk0、时钟使能clken=1和复位reser=0,由图可以看出,输出q得到一个占空比为40%的方波,该设计为占空比为40%方波发生器。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把硬件的引脚与程序中的输入输出端锁定,编译并下载程序,设置好时钟inclk0、时钟使能clken=1和复位reser=0,板子上电测试,观察实验现象是否符合程序的结果。

七、总结:在涉及RAM和ROM等存储器应用的EDA 设计开发中要学会利用Quartus II 调用LPM RAM的方法和相关技术,包括仿真测试、初始化配置文件生成、例化程序表述、相关属性应用、以及存储器的Verilog语言描述等。

电子设计自动化实验报告(六)学院:电气学院班级:电子122班姓名:覃思远

一、实验题目:设计一个状态机,可以循环输出不规则数据19、15、17、8、3

二、实验目的:熟悉并掌握用Quartus II设计有限状态机的方法及步骤

三、实验程序:module qsy(clk,reset,out);

input clk,reset;

output [5:0] out;

reg [2:0]next;

reg [5:0] out;

parameter s0=0,s1=1,s2=2,s3=3,s4=4;

always @(posedge clk or negedge reset) begin

if(!reset) next<=s0;else

case (next)

s0: begin out<=19;next<=s1;end//进入状态s0输出19

s1: begin out<=15;next<=s2;end//进入状态s1输出15

s2: begin out<=17;next<=s3;end//进入状态s2输出17

s3: begin out<=8;next<=s4;end//进入状态s3输出8

s4: begin out<=3;next<=s0;end//进入状态s4输出3

default :next<=s0;

endcase

end

endmodule

十一、实验仿真结果:

五、仿真结果分析:如上图所示,设定好时钟CLK。程序刚开始执行时,现态next=s0,输出OUT=19,接着由“next<=s1;”开始转向下一状态s1;以此类推,输出OUT分别为15、17、8、3,当程序执行到状态s4后,再把s4的状态转回到s0就能实现状态的循环。当出现错误时,不管处什么状态会转回到next<=s0状态。

六、硬件下载设置及测试过程:根据板子给定的引脚锁定图,选定相应的硬件把硬件的引脚与程序中的输入输出端锁定,编译并下载程序,设置好时钟CLK和复位端RST(为1时状态转换正常),板子上电测试,检测OUT端是否循环输出不规则数据19、15、17、8、3,且检测当RST为0时OUT是否都为19,如果结果正确,证明程序可以实现本次实验的功能。

七、总结:有限状态机及其设计技术是实用数字系统设计中的重要组成部分,也是实现高效率、高可靠、和高速控制逻辑系统的重要途径,在时序电路设计中,状态机的概念是必须贯穿于整个设计始终的最基本的设计思想和设计方法论,我们一定努力学习本次实验的内容,熟悉并掌握好有限状态机的设计方法和步骤。

2011-年全国大学生电子设计竞赛实验报告

2011 年全国大学生电子设计竞赛实验报告 一、实验目的 1、熟练掌握各种常用实验仪器的使用方法。 2、熟悉LM324运放的典型参数及应用。 3、掌握PDF 资料的查询与阅读方法。 4、掌握电子设计与调试的基本流程及方法。 二、实验内容 设计要求: 使用一片通用四运放芯片LM324组成电路框图见图1,实现下述功能: 1. 使用低频信号源产生100.1sin 2()i U f t V =∏,f 0 =500Hz 的正弦波信号,加至 加法器输入端。 2. 自制三角波产生器产生T=0.5ms (±5%),V p-p =4V 的类似三角波信号1o u ,并加至加法器的另一输入端。 3. 自制加法器,使其输出电压U i2 = 10U i1+U o1。 4. 自制选频滤波器,滤除1o u 频率分量,得到峰峰值等于9V 的正弦信号2o u ,2o u 用示波器观察无明显失真。 5.将1o u 和2o u 送入自制比较器,其输出在1K Ω负载上得到峰峰值为2V 的输出电压3o u 。 方案论证与数值计算: 由于电源只能选用+12V 和+5V 两种单电源,由稳压电源供给,而

LM324N具有宽的单电源或双电源工作电压范围,单电源:3-30V,双电源:1.5V-15V,经过试验我们选择双电源供电,所以进行电源的搭建

三角波发生部分: 方案一: 三角波发生器电路按照由方波经过积分电路得到,需要两个放大器,不满足实验要求。 方案二: 利用RC充放电模拟三角波,通过两个电位器分别来调节周期和峰峰值至实验要求的值。达到合理利用现有资源高效达到要求的目的。因此我们采用方案二。题目要求三角波发生器产生的周期为T=0.5ms,Vpp=4V的类似三角波。我们由公式T=2*R14*C1*ln(1+2*R3/R15)另外运放1端输出电压设为U,则Uo1=(R15/(R15+R1))*U。选取电容为较常见的47nf , 计算得R1=2R14;R14=0-5K,所以取R1为0-10k;得到R15=0-10K; 加法器部分

电子设计自动化技术及其应用简述

龙源期刊网 https://www.doczj.com/doc/ba6041680.html, 电子设计自动化技术及其应用简述 作者:张彦超 来源:《科技创新与应用》2013年第07期 摘要:随着计算机和电子技术的广泛应用,电子工程的发展已经呈现出超速发展的态势。其中,EDA技术成为了现如今电子工程技术之中的一个重要科学技术。文章主要阐述了EDA 技术的概念、特点、作用以及其设计的领域。 关键词:EDA;自动化;硬件设计 1 电子设计自动化技术的基本含义及现状 电子设计自动化(Electronic Design Automation,EDA)技术是以计算机科学和微电子技 术发展为先导,汇集了计算机图形学、拓扑逻辑学、微电子工艺与结构学和计算数学等多种计算机应用学科最新成果的先进技术,它是在先进的计算机工作平台上开发出的一整套电子系统设计的软件工具。从上个世纪中叶开始,相关的科学技术人员相继研发出种类繁多的计算机辅助设计工具,通过这些工具帮助设计研究人员完成电子系统和集成电路的作业设计,也正是因为这样,EDA技术的发展在集成电路飞速发展的严峻形势下,也不得不完成自身技术的创新 与飞跃,为更大规模庞大的集成电路系统设计与制造提供更多的支持,以此来适应社会生活和生产的需要。 EDA是从20世纪60年代中期的计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念中中发展过来的,它是电子设计自动化的缩写。EDA技术就是以计算机作为主要工具,在EDA软件的工作平台上,设计者使用硬件描述语言VHDL来进行设计,而后由计算机自动的完成逻辑编译、化简、分割、综合、 优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。这一技术是电子计算机、微电子操作技术、电路知识、信息收集分析和信号处理等多项技术的结晶。 如今对EDA概念的诠释延伸了很多领域,不仅仅局限于某一行业内。在机械、通信、电子、航空航天、矿产、化工、医学、生物、军事等领域内,都会发现有EDA技术的身影。EDA在教学、科研、产品设计与制造等多个方面都能够发挥出其重要的作用。在教学方面, 现在EDA课程几乎都要遍及到所有理工科类的高等院校,是一项热门的科学专业。学校传授的EDA技术,主要是要让学生认识、了解EDA的基本概念和基本的理论,能够掌握运用HDL语言编写简单的规范、了解掌握逻辑综合的理论和算法,能够运用EDA技术平台独立进行电子电路课程的实验验证并从事简单系统的设计。一般学习电路仿真工具(如multiSIM、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统)。科研方面主要利用电路仿真工具(multiSIM或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等。在产品设计与制造

电子设计自动化实验报告

江苏大学京江学院Array JINGJIANG COLLEGE OF J I A N G S U U N I V E R S I T Y 课程实验报告 电子设计自动化实验报告 班级: 学号: 姓名:

实验一半加器和全加器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习用图形输入方式和VHDL语言输入方式设计数字电路 3. 学习用元件例化语句进行结构化设计 二、实验内容 1. 用图形方式设计一位半加器 2.用VHDL语言设计一位半加器 3.用图形方式设计全加器 4.用元件例化方式设计全加器 三、设计实现 1. 用图形方式设计一位半加器

原理图: 仿真波形: 2.用VHDL语言设计全加器 原理图: 源程序: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY or2 IS PORT(a,b:IN STD_LOGIC;c:OUT STD_LOGIC); END ENTITY or2; ARCHITECTURE fu1 OF or2 IS BEGIN c<=a OR b; END ARCHITECTURE fu1; ---半加器描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY half_adder IS

PORT(a,b:IN STD_LOGIC;s,c:OUT STD_LOGIC); END ENTITY half_adder ; ARCHITECTURE fu1 OF half_adder IS BEGIN s<=a XOR b; c<=a and b; END ARCHITECTURE fu1; --1位二进制全加器顶层设计描述 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY f_adder IS PORT( A,B,Cin :IN STD_LOGIC;sum,cout :OUT STD_LOGIC;); END ENTITY f_adder IS COMPONENT h_adder2; PORT( a,b:IN STD_LOGIC; c,s :OUT STD_LOGIC); END COMPONENT; COMPONENT or2 PORT( a,b :IN STD_LOGIC; c :OUT STD_LOGIC); END COMPOMENT; SINGNAL d,e,f : STD_LOGIC; BEGIN u1 :h_adder2 PORT MAP( A,B,d,e); u2 :h_adder2 PORT MAP(d,Cin,f,sum); u3 : or2 PORT MAP(e,f,cout); END ARCHTECTURE fd1; 仿真波形: 实验二四选一数据选择器的设计 一、实验目的 1. 进一步熟悉Max+PlusII软件的使用 2. 学习VHDL语言中顺序语句和并行语句的使用

电子工艺实习实验报告

1.1.1.1.1北京邮电大学实习报告

1.焊接工艺 1.1 焊接工艺的基本知识 焊接是使金属连接的一种方法。它利用加热手段,在两种金属的接触面,通过高温条件下焊接材料的原子或分子的相互扩散作用,使两种金属间形成永结牢固的结合面而结合成整体。焊接的过程有浸润、扩散、冷却凝固三个阶段的变化。利用焊接的方法进行连接而形成的接点叫焊点。 焊接工艺是指焊接过程中的一整套技术规定。包括焊接方法、焊前准备、焊接材料、焊接设备、焊接顺序、焊接操作、工艺参数以及焊后热处理等。 我们实验中主要是PCB板的焊接。 1.2 焊接工具、焊料、焊剂的类别与作用 焊接工具有烙铁、镊子、螺丝刀、钳子等。 电烙铁的作用是加热焊料和被焊接金属,最终形成焊点。按加热方式可分为热式、外热式等,按功能分为防静电式、吸锡式、恒温式等。本实验使用外热式电烙铁。 焊料是焊接时用于填加到焊缝、堆焊层和钎缝中的金属合金材料的总称。包括焊丝、焊条、钎料等。焊料分软焊料和硬焊料两种,软焊料熔点较低,质软,也叫焊镴,如焊锡;硬焊料熔点较高,质硬,如铜锌合金。本次实习使用的焊料为焊锡(铅锡合金)。 焊剂是指焊接时,能够熔化形成熔渣和(或)气体,对熔化金属起保护和冶金物理化学作用的一种物质,又称助焊剂或阻焊剂,一般由活化剂、树脂、扩散剂、溶剂四部分组成。一般可划分为酸性焊剂和碱性焊剂两种。作用:清除焊件表面的氧化膜,保证焊锡浸润。本实验的焊料是松香。 下面分列各工具及材料的作用。 电烙铁:熔化焊锡; 电烙铁架:放置电烙铁; 镊子:夹持焊锡或去除导线皮; 螺丝刀:拆组机器狗; 钳子:裁剪导线或焊锡; 焊锡(锡铅合金):固定焊脚,电路板和器件电气连接; 助焊剂(松香):加速焊锡融化,去除氧化膜,防止氧化等; 阻焊剂(光固树脂):板上和板层间的绝缘材料。 1.3焊接方法 手工焊接主要为五步焊接法: 1.准备施焊,检查焊件、焊锡丝、烙铁,保持焊件和烙铁头的干净; 2.加热焊件,用烙铁头加热焊件各部分,加热时不要施压; 3.熔化焊料,焊锡丝从烙铁对面接触焊件,将焊丝至于焊点,是焊料融化并润湿焊点; 4.移开焊锡,当融化的焊料在焊点上堆积一定量后,移开锡丝; 5.移开烙铁,当焊锡完全润湿后,迅速移开烙铁,在焊锡凝固前保持焊件为静止状态。

电子设计实验报告

电子技术课程设计报告

目录 1. 电子琴 (2) (1.1 )设计要求 (2) (1.2 )设计的作用. 目的 (2) (1.3 )设计的具体实现 (3) (1.4)心得体会、存在问题和进一步的改进意见等 (7) (1.5)附录 (8) (1.6 )参考文献 (9) (1.7 )附图 (9) 2. 温度控制电路 (10) 2.1 )设计要求 (10) (2.2 )设计的作用. 目的 (10) (2.3 )设计的具体实现 (10) (2.4)心得体会、存在问题和进一步的改进意见等12 (2.5)附录 (12) (2.6 )参考文献 (13) 3. ...................................................... 信号发生器13 (3.1 )设计要求 (13) (3.2 )设计的作用. 目的 (13) (3.3 )设计的具体实现 (14) (3.4)心得体会、存在问题和进一步的改进意见等 (17) (3.5)附录 (17) (3.6 )参考文献 (17) 4. ...................................................... 音频放大器18 (4.1 )设计要求 (18) (4.2 )设计的作用. 目的 (18) (4.3 )设计的具体实现 (18) 4.4)心得体会、存在问题和进一步的改进意见等 (21) (4.5) .......................................... 附录21

(4.6 )参考文献 (21) 简易电子琴设计报告 一.设计要求本设计是基于学校实验室的环境,根据实验室提供的实验条件来完成设计任务,设计一个简易电子琴。 (1).按下不同琴键即改变RC 值,能发出C 调的八个基本音阶,采用运算放大器构成振荡电路,用集成功放电路输出。 (2).选择电路方案,完成对确定方案电路的设计。计算电路元件参数并记录对应不同音阶时的电路参数值、元件选择、并画出总体电路原理图,阐述基本原理。(3).连接安装调试电路。 (4).写出设计总结报告。 二. 设计的作用、目的 1. 学会用仿真软件对设计的原理图进行仿真。培养创新能力和创新思维,锻炼学生 自学软件的能力,通过查阅手册和文献资料,培养独立分析问题和解决问题的能 力。 2. 培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事求是的科 学态度和勇于探索的创新精神。 3. 通过课程设计,使学生在理论计算、结构设计、工程绘图、查阅设计资料、标准 与规范的运用和计算机应用方面的能力得到训练和提高。

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

电子设计自动化技术教学计划

《电子设计自动化技术》 课程教学计划 (90学时) 一、课程性质和任务 本课程是我校主干专业《电子电器应用与维修》专业的新课程。其任务是:使学生掌握电子设计自动化技术的基础知识,具备电子线路方面的计算机应用能力、电路的基本仿真设计能力和印制线路板的设计能力,并为培养学生的创新能力和全面素质打下良好的基础。 二、课程教学目标 (一) 知识教学目标 1. 理解电子设计自动化(EDA) 技术的基本概念。 2. 了解电子行业应用EDA技术的概况。 3. 进一步理解电子线路的电路结构、原理和特性。 4. 了解印制线路板的设计原则和制作工艺。 (二) 能力培养目标 1. 能正确使用EDA工具软件。 2. 能绘制符合规范要求的电原理图和印制线路板图。 3. 会对简单的电子线路进行仿真设计。 (三) 思想教育目标 1. 具有规范操作的意识和认真细致的工作作风。 2. 具有理论联系实际的能力和一定的创新精神和创业能力。 三、教学内容和要求 基础模块 (一) 绪论 1. 了解EDA技术的基本概念、发展与应用概况,了解常用EDA软件,了解本课程的主要内容和学习方法。 2. 了解电路仿真和PCB辅助设计在EDA技术中的作用和地位。 (二) 电路仿真软件基础 1. 了解仿真软件基本界面和帮助信息。 2. 掌握文件打开与建立、元器件操作、连线、文件存盘与退出、查看分析结

果和电路图输出等基本操作。 3. 理解子电路的含义及功能,掌握子电路的设计和使用方法。 (三) 仿真虚拟仪器使用 1. 了解数字万用表、函数信号发生器、示波器、扫频仪、信号发生器、逻辑分析仪和逻辑转换仪等虚拟仪器的面板功能。 2. 掌握电路仿真虚拟仪器的参数设置、连接、显示调整以及测量结果记录等方法。 (四) 电路仿真分析与设计 1. 掌握仿真元件参数的设置方法及器件的替换方法。 2. 了解仿真软件的重要分析方法对电路设计的作用。 3. 掌握静态工作点的分析、AC分析、瞬态分析、参数扫描分析等常用分析方法。 4. 了解常用器件建模过程,了解在互联网上获取新器件仿真模型的方法,并将其引入仿真软件中。 5. 了解晶体管、常用模拟集成电路、数字集成电路的建模方法及过程,了解常用新器件的模型收集方法。 6. 了解仿真结果的应用方法。 7. 了解将仿真结果进行再处理和应用到技术文档中的方法。 8. 了解电路网络表文件与印制线路板设计之间的联系,加深对EDA技术的理解。 (五) 印制线路板基础知识 1. 了解印制线路板的基本概念、种类和制作工艺。 2. 了解印制线路板设计的基本过程。 3. 理解印制线路板布局原则。 4. 理解印制线路板布线原则。 (六) 原理图编辑 1. 了解原理图设计软件的基本界面和各种编辑器。 2. 掌握原理图设计软件的启动、文件管理、工作环境设置。 3. 掌握原理图设计软件的电路元件、电源、I/O端口的放置与连接。 4. 理解总线、网络标号的概念,掌握总线的应用。 5. 理解层次图的概念及作用,掌握层次图的应用。 6. 理解电气规则检查的作用,掌握电气规则检查的方法。 7. 理解网络表文件的概念和作用,掌握网络表文件和元件清单的生成方法。 8. 掌握元件的设计方法。 9. 了解原理图输出参数的设置,掌握原理图的输出方法。 10. 学会原理图编辑的文件操作、元件调用和连线操作。 11. 学会总线和网络标号的应用。 12. 能绘制原理图。 (七) PCB设计软件 1. 了解印制线路板的设计流程。 2. 理解原理图、网络表和PCB元件之间的匹配问题。 3. 掌握网络表文件的修改方法。 4. 理解印制线路板的设计规则,掌握印制线路板的设计基本规则。 5. 掌握印制线路板手工布线的方法。

电子设计大赛实验报告

2014年江苏省大学生电子设计竞赛实验报告 无线电能传输装置(F题) 2014年8月15日 摘要:本设计基于磁耦合式谐振荡电路来进行无线电能传输,点亮LED灯。由于输入和输出都是直流电 的形式,因此本系统将分为以下四个部分:第一部分为驱动电路(DC-AC),为使直流分量转化成交流电并通过耦合线圈将电能传输给负载,采用LC谐振的方式让回路中电容和电感构成一个二阶LC谐振电路,驱动MOS管形成交流电。第二部分为发射电路(AC-AC),应用电磁感应原理,在二次线圈中产生感应电流并输给接受电路。第三部分为电能转换电路(AC-DC),输出的感应交流电经整流桥桥式整流后流入升压电路。第四部分为升压电路(DC-DC),对整流之后的直流进行升压,防止整流后的电压无法驱动LED。本设计分模块搭建并对各个部分电路进行原理分析。在调试时,采用分模块调试,根据调试结果修改参数,最终形成一个完整的稳定系统。 关键词: 磁耦合式谐振荡电路LC振荡电路桥式整流DC-DC升压 [Abstract] The design is based on magnetic resonance oscillation circuit coupled to the wireless power transmission, lit LED lights. Since the input and output are in the form of direct current, so the system will be divided into the following four parts: The first part of the drive circuit (DC-AC), is converted into alternating current so that the DC component and the power transmission through the coupling coil to the load, using LC resonant circuit in a manner so that the capacitance and inductance form a second order LC resonant circuit, the AC drive MOS tube formation. The second part is the transmitter circuit (AC-AC), application of the principle of electromagnetic induction,

电子设计自动化技术试卷1答案

试卷 第 1 页 共 1 页 试卷答案: 一、 选择题 1 2 3 4 5 6 7 8 9 10 D C A D A A A A A D 二、名词解释,写出下列缩写的英文全称和中文含义 1. EDA EDA Electronic Design Automation 电子设计自动化 2. CPLD Complex Programmable Logic Device 复杂可编程逻辑器件 3. SOPC System-on-a-Programmable-Chip 可编程片上系统 4 IEEE Institute of Electrical and Electronics Engineers 电气和电子工程师协会 5 FPGA Field -Programmable Gate Array 现场可编程门阵列 6 PLD Programmable Logic Device 可编程逻辑器件 7. JTAG JTAG Joint Test Action Group 联合测试行动小组 8. IP Intellectual Property 知识产权 9. ASIC Application Specific Integrated Circuits 专用集成电路 10. VHDL Very-High-Speed Integrated Circuit Hardware Description Language 超高速集成电路硬件描述语言 每小题写出英文全称2分,中文含义1分 三、 VHDL 程序填空 1 SEG7DEC "1101101" "1111111" 2 '1' WHEN A='0' AND B='1' ELSE 3 0 ENA='1' outy+1 4 0000000000000000 D sreg(1 5 downto 1)<=sreg(14 downto 0) 每空3分 四、 1. 时序电路的初始状态常常由复位信号来设置。根据复位信号复位时机的不同,可将复位分为同步复位和异步复位两种(4分)。所谓同步复位,就是当复位信号有效且在给定的时钟边沿到来时,电路才被复位(3分),此时复位的状态与时钟同步,有助于信号的稳定和系统毛刺的消除;异步复位状态与时钟状态不要求同步,一旦复位信号有效,电路就被复位(3分)。 2. 主要PLD 厂商: 1) Altera :Altera 公司在20世纪90年代以后发展很快,是最大的可编程逻辑器件供应商之一。 (2分) 2) Xilinx: FPGA 的发明者,老牌PLD 公司,是最大的可编程逻辑器件供应商之一。(2分) 3) Lattice (2分) CPLD/FPGA 器件的开发设计一般可以分为设计输入(1分)、设计实现(1分)、设计校验(1分)和下载编程(1分)四个步骤。

电子工艺实验报告

电子工艺实验报告 一、实验目的: (1)熟悉手工焊锡的常用工具的使用及其维护与修理。 (2)基本掌握手工电烙铁的焊接技术,能够独立的完成简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程,印制电路板设计的步骤和方法,手工制作印制电板的工艺流程,能够根据电路原理图,元器件实物。 (3)了解常用电子器件的类别、型号、规格、性能及其使用范围,能查阅有关的电子器件图书。 (4)能够选用常用的电子器件。了解电子产品的焊接、调试与维修方法。了解一般电子产品的生产调试过程,初步学习调试电子产品的方法。 抢答器焊接部分 二、实验步骤: (1)学习识别简单的电子元件与电子电路。 (2)学习并掌握抢答器的工作原理。 (3)学习焊接各种电子元器件的操作方法。 (4)按照图纸焊接元件。 实验原理图

焊接技巧及烙铁使用 (一)焊接机巧 1.焊前处理: 焊接前,应对元件引脚或电路板的焊接部位进行焊前处理。 ①、清除焊接部位的氧化层 可用断锯条制成小刀。刮去金属引线表面的氧化层,使引脚露出金属光泽。印刷电路板可用细纱纸将铜箔打光后,涂上一层松香酒精溶液。 ②、元件镀锡

在刮净的引线上镀锡。可将引线蘸一下松香酒精溶液后,将带锡的热烙铁头压在引线上,并转动引线。即可使引线均匀地镀上一层很薄的锡层。导线焊接前,应将绝缘外皮剥去,再经过上面两项处理,才能正式焊接。若是多股金属丝的导线,打光后应先拧在一起,然后再镀锡。 2.做好焊前处理之后,就可正式进行焊接。 ①、右手持电烙铁。左手用尖嘴钳或镊子夹持元件或导线。焊接前,电烙铁要充分预热。烙铁头刃面上要吃锡,即带上一定量焊锡。 ②、将烙铁头刃面紧贴在焊点处。电烙铁与水平面大约成60℃角。以便于熔化的锡从烙铁头上流到焊点上。烙铁头在焊点处停留的时间控制在2~3秒钟。 ③、抬开烙铁头。左手仍持元件不动。待焊点处的锡冷却凝固后,才可松开左手。 ④、用镊子转动引线,确认不松动,然后可用偏口钳剪去多余的引线。 3.焊接质量 焊接时,要保证每个焊点焊接牢固、接触良好。要保证焊接质量。 所示应是锡点光亮,圆滑而无毛刺,锡量适中。锡和被焊物融合牢固。不应有虚焊和假焊。 虚焊是焊点处只有少量锡焊住,造成接触不良,时通时断。假焊是指表面上好像焊住了,但实际上并没有焊上,有时用手一拔,引线就可以从焊点中拔出。

电工电子综合实验1--裂相电路仿真实验报告格 2

电子电工综合实验论文 专题:裂相(分相)电路 院系:自动化学院 专业:电气工程及其自动化 姓名:小格子 学号: 指导老师:徐行健

裂相(分相)电路 摘要: 本实验通过仿真软件Mulitinism7,研究如何将一个单相的交流分裂成多相交流电源的问题。用如下理论依据:电容、电感元件两端的电压和电流相位差是90度,将这种元件和与之串联的电阻当作电源,这样就可以把单相交流源分裂成两相交流电源、三相电源。同时本实验还研究了裂相后的电源接不同的负载时电压、功率的变化。得到如下结论: 1.裂相后的电源接相等负载时两端的电压和负载值成正相关关系; 2.接适当的负载,裂相后的电路负载消耗的功率将远大于电源消耗的功率; 3.负载为感性时,两实验得到的曲线差别较小,反之,则较大。 关键词:分相两相三相负载功率阻性容性感性 引言 根据电路理论可知,电容元件和电感元件最容易改变交流电的相位,又因它们不消耗能量,可用作裂相电路的裂相元件。所谓裂相,就是将适当的电容、电感与三相对称负载相配接,使三相负载从单相电源获得三相对称电压。而生活和工作中一般没有三相动力电源,只有单相电源,如何利用单相电源为三相负载供电,就成了值得深入研究的问题了。 正文 1.实验材料与设置装备 本实验是理想状态下的实验,所有数据都通过在电路专用软件Multisim 7中模拟实验测得的;所有实验器材为(均为理想器材) 实验原理: (1). 将单相电源分裂成两相电源的电路结构设计 把电源U1分裂成U1和U2输出电压,如下图所示为RC桥式分相电压原理,可以把输入电压分成两个有效值相等,相位相差90度的两个电压源。 上图中输出电压U1和U2与US之比为

电子设计实验报告——充电器

实验报告 实验课程名称直流稳压电源及充电器 专业班级电信1403班 学生学号2014213940 学生姓名凌志云 实验指导教师黄光明

实验课程名称:电子设计1 一、实验项目名称:ADS06-2型直流稳压电源及充电器设计 一般晶体管电路都需要直流电源,而且是稳定的电源,才能正常工作,如收音机,电视机等。不管是用分立元件组成电路,还是用集成电路,其中都少不了放大信号的晶体管。为了保证晶体管能够保证放大信号,必须采用稳定的直流电源供电,稳定的直流电压可由干电池或蓄电池获得,但他们储蓄电量的能力有限,不能供应电器长时间工作。 稳定的直流电源可由交流电经过降压,然后经过稳压获得,这就是常见的稳压电源,他能供电器长时间工作。本课题主要设计一个连续可调稳压电路以及用这个电路对5号和7号电池进行充电,以实现其多功能化。 二、实验目的和要求: 实验目的: 1.学会直流稳压电源及充电器的设计方法和性能指标测试方法。 2.培养实践技能以及分析和解决实际问题的能力。 实验要求: 1.制作一个连续可调直流稳压电源及充电器,主要技术指标要求 (1)输出电压:交流220V,直流3V,6V (2)最大输出电流:500mA (3)电池充电器:左通道(E1,E2)充电电流50~60MA(普通充电);右通道(E3,E4)充电电流110~130mA(快速充电) 2.稳压电源和充电器可同时使用,但两者电流之和不能超过500mA 三、实验内容和原理: 1.直流稳压电源设计思路 (1)电网供电电压交流220V(有效值)50Hz,要获得低压直流输出,首先必须采用电源变压器将电网电压降低获得所需要交流电压。 (2)降压后的交流电压,通过整流电路变成单向直流电,但其幅度变化大(即脉动大)。 (3)脉动大的直流电压须经过滤波电路变成平滑,脉动小的直流电,即将交流成份滤掉,保留其直流成份。 (4)滤波后的直流电压,再通过稳压电路稳压,便可得到基本不受外界影响的稳定直流电压输出,供给负载RL。 2.直流稳压电源原理 直流稳压电源是一种将220V工频交流电转换成稳压输出6V、9V的直流电压的装置,它需要变压、整流、滤波、稳压四个环节才能完成,见图。

电子设计自动化课程设计报告

电子设计自动化课程设计报告

电子设计自动化课程设计报告

学生姓名: 学号: 课设题目: VGA彩条信号显示控制器设计同组人:

电子设计自动化课程设计报告 郝欣欣 一、课程设计内容 1、使用Verilog语言和Modelsim仿真器完成可显示横彩条、竖彩条、棋盘格相间的VGA控制器的设计和验证 2、设计并验证可显示英语单词”HIT”的VGA 控制器 3、使用Quartus II和SOPC实验箱验证设计的正确性 4、Verilog代码要符合微电子中心编码标准 二、FPGA原理 CPLD、FPGA是在PAL、GAL等基础上发展起来的一种具有丰富的可编程I/O 引脚、逻辑宏单元、门电路以及RAM空间的可编程逻辑器件,几乎所有应用门阵列、PLD和中小规模通用数字集成电路的场合均可应用FPGA和CPLD器件。CPLD的设计是基于乘积项选择矩阵来实现的,而FPGA基于查找表来设计的。查找表就是实现将输入信号的各种组合功能以一定的次序写入RAM中,然后在输入信号的作用下,输出特定的函数运算结果。其结构图如图1所示: 图1. FPGA查找表单元 一个N输入查找表(LUT,Look Up Table)可以实现N个输入变量的任何逻辑功能,如N输入“与”、N输入“异或”等。

输入多于N个的函数、方程必须分开用几个查找表(LUT)实现(如图2 所示)。 图2 FPGA查找表单元内部结构 该系统设计中,FPGA芯片用的是ALTERA公司的EP1K30QC208-2,它的系统结构如图3所示。它由若干个逻辑单元和中央布线池加I/O端口构成

图3 EP1K30QC208内部结构 三、VGA接口 VGA的全称为Video Graphic Array,即显示绘图阵列。在PC行业发展的初期,VGA以其支持在640X480的较高分辨率下同时显示16种色彩或256种灰度,同时在320X240分辨率下可以同时显示256种颜色的良好特性得到广泛支持。后来,厂商们纷纷在VGA基础上加以扩充,如将显存提高至1M并使其支持更高分辨率如800X600或1024X768,这些扩充的模式就称之为VESA(Video Electronics Standards Association,视频电子标准协会)的Super VGA模式,简称SVGA,现在的显卡和显示器都支持SVGA模式。 图4 VGA接口 VGA接口就是显卡上输出模拟信号的接口,也叫D-Sub接口。VGA接口是一种D型接口,上面共有15针空,分成三排,每排五个。VGA接口是显卡上应用最为广泛的接口类型,绝大多数的显卡都带有此种接口。 表1 VGA管脚定义 管脚定义 1 红基色 red 2 绿基色 green 3 蓝基色 blue 4 地址码 ID Bit 5 自测试 (各家定义不同)

电子工艺实习实验报告心得

电子工艺实习实验报告心得 为期四周的电子工艺实习结束了,在这期间我们学习了常用电子元器件,以及相关的各种工具;基本掌握了电子元器件的基本手工焊接方法;最后焊接完成了DT830D数字万用表的焊接与组装。这们课不同于其他的课程,主要是培养我们的手能力,同时它作为我们专业的一门必修课也让大家收获了很多,当最后我拿着我焊接组装的万用表时,心中有着一种喜悦,是一种通过自己双手获得成功后的喜悦。学完这门课后我对电子产品的生产有了个新的认识,它并不像过去我认为的装起来就好,而是要经历一定过程的。 我总结了一下,一个电子产品从开始到出厂的过程主要包括: 1、设计电路 2、制作印刷电路板,准备电子元器件 3、插装电子元器件 4、焊接电子元器件及修剪拐角 5、检验与调试 6、组装电子产品,包装 其中最主要的的就是焊接,焊接工艺的好坏直接影响着产品的档次与功能。特别是现在电子产品向小型化,与多功能化的方向发展,如果焊接工艺跟不上的话,再好的设计都是无法实现的。学习这门课感觉就是在学习电子产品的制造精髓------焊接。在细一点就是手工焊接,虽然这种方法在正规生产中是无法实现的,但他作为所有焊接技术的基础,以及我们学习电专业的人所必备的技能有着绝对的存在价值。

焊接是使金属连接的一种方法,利用加热的手段在两种金属的接触面通过焊接材料的原子或分子的相互扩散作用,是两种金属件形成一种永久的牢固结合。利用焊接方式进行连接而形成的连接叫做焊点。电子元器件的焊接称为锡焊,其主要原 手工焊一般分为四个步奏 1、准备焊接,其中最主要的是把少量的焊锡丝和助焊剂加到烙铁头上,以避免烙铁头的氧化,影响焊接质量,而且这样还可以使烙焊件将烙铁头放在被焊接的焊点上,使焊点升温。这样可以使焊锡铁随时处于可焊接状态。 2、接热更好的流向另一面焊盘。 3、溶化焊料,当焊点加热到一定程度时,将焊锡丝放在焊接处,使其溶解适量的焊料后一看焊锡丝。 4、移开烙铁,移开烙铁的时机,方向和速度决定着焊接的质量。正确的方法是先慢后快,45度的方向。在我焊接时,我感觉最主要问题是烙铁头的氧化,当廖铁头氧化后将不能挂锡,使焊锡溶解为一个小球不能与焊盘很好的连接。 在焊接中我体会到要注意的问题 1、焊锡量要适中,过多的焊锡会造成焊锡的浪费,焊接时间的增加,不易察觉的短路。过少的话会造成焊点强度降低,虚焊。在我焊接时刚开始我怕给多了所以就是都很少,有时甚至焊接面没有明显的焊接,后来心理慢慢默数1234来控制国际的心理,这时焊锡又有点多,随着焊接数的增加我慢慢掌握了焊接的用量。

电子设计 实验报告.

台灯自动开关控制电路设计设计报告 设计任务 设计制作一个台灯自动开关控制电路设计 设计要求 (1).设计并制作一个台灯自动开关盒,以实现“人来灯亮,人走灯灭,光照灯灭”等节电功能; (2).台灯盒有交流电源输入线和交流电源输出插座,输出可接额定电压220V,功耗不大于60W的台灯; (3).具有两个传感器,即:(1)光敏传传感器。将其安装在开关盒适当的地方,作为检测光照;(2)对人体敏感的传感器,感应人体接近; (4).自动开关盒做好后,将台灯的电源插头插入开关盒的电源输出插座,便可实现如下功能:(1)在晚上,当没有足够的光源照到光敏元件上时,若有人靠近台灯,它便自动发光,人离开台灯后,能自动断开电源;(2)在白天有足够强的光线(以适合于阅读为标准)照射到光敏元件上时,无论人靠近台灯,它都不会亮; 一. 方案选择及电路的工作原理 为了实现智能开关自动调光的目的,准备了以下方案: 方案一: 首先,灯亮的前提是时间为晚上,所以首先要检测是白天还是晚上,通过光线强弱的不同来判定。运用光敏电阻来感应光线的变化。当白天光线强时,光敏电阻的阻值较小;而当晚上光线较弱时,其电阻阻值较大。通过阻值的变化所引起的一系列变化来确定白天还是黑夜。 运用红外发射接收对管检测人是否进入所在范围。在人进入之前,由于红外发射和接收管并行排列,接收管没有接收到红外信号,其电阻阻值很大;当人进入后,发射管发出的红外信号被人体反射,当接收管接收之后电阻阻值变小。通过阻值的变化引起电路中其他变量的变化来确定人是否在所测范围。 最后运用与门来使电路达到当天黑同时又有人时台灯自动打开。 方案二: 对于光线感应部分与方案一相同,而在人体检测的部分则改用红外感应器LHI907,它是利用红外技术,当人靠近时,里面的电路发生变化,输出信号。当红外感应器检测到有人靠近时,输出一个高电平。

电子设计自动化技术课件答案

第一章 1-1 EDA技术与ASIC设计和FPGA开发有什么关系? P3~4 答:利用EDA技术进行电子系统设计的最后目标是完成专用集成电路ASIC的设计和实现;FPGA和CPLD是实现这一途径的主流器件。FPGA和CPLD通常也被称为可编程专用IC,或可编程ASIC。FPGA和CPLD的应用是EDA技术有机融合软硬件电子设计技术、SoC(片上系统)和ASIC设计,以及对自动设计与自动实现最典型的诠释。 1-2与软件描述语言相比,VHDL有什么特点? P6 答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用。综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。 l-3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5 什么是综合? 答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 有哪些类型? 答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。 (2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合。(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。 综合在电子设计自动化中的地位是什么? 答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。 1-4在EDA技术中,自顶向下的设计方法的重要意义是什么? P7~10 答:在EDA技术应用中,自顶向下的设计方法,就是在整个设计流程中各设计环节逐步求精的过程。 1-5 IP在EDA技术的应用和发展中的意义是什么? P11~12

电子工艺实习实验报告

实验报告 实验名称电子工艺实习 实验项目阶梯波电路/机器猫/万用表实验仪器电烙铁示波器剥线钳 吸锡器导线模板芯片 系别计算机科学与工程 专业计算机科学与技术 班级/学号 学生姓名 实验日期 成绩 指导老师

一、实习目的 主要通过该课程使学生了解现代电子技术的发展,掌握现代化的电子工艺技术,认识、了解和使用电子器件和元件,设计电子产品,完成电子产品制作的全过程。掌握器件识别检测、Protel2004电路原理图、PCB设计制作、焊接工艺的基本技能,掌握电子电路安装、调试技术等技能并能排除常见故障。培养学生掌握现代电子工艺技术的基本技能,培养学生理论联系实际的能力,锻炼和培养学生的实践动手能力和创新能力,适应现代电子技术发展要求和企业社会要求的工程实践能力的主要途径之一。 二、实习内容要求 1、掌握电子元器件的焊接及电子产品的装接工艺; 2、掌握电子器件手册、图纸和工艺文件的使用知识; 3、掌握专用工具、有关仪器仪表的正确使用; 4、完成阶梯波信号发生器电路设计,掌握电子产品设计方法;完成阶梯波信号发生器的调试、测试,掌握电子产品整机系统测试方法;能正确使用调试器、仪表,完成复杂产品的全部调试,并能排除常见故障。 5、完成电子产品套件机器猫与万用表,熟悉电路图及其中的各种元器件,练习焊接技术,然后自己动手焊接调试,直到产品合格。 阶梯波电路要求

(一)阶梯波电路要求 1.学习阶梯波电路工作原理 采用简易数模转换(D/A)式梯波电路发生器的设计主要有电源电路、连续脉冲信号、发生器电路、阶梯波生成级、阶梯波放大级等部分组成。 2.了解器件工作特性 a、555定时器: 555定时器的内部电路方框图如图2所示,该集成电路由四部分组成:电阻分压器、电压比较器、基本RS触发器、输出缓冲器和放电三极管。 比较器的参考电压由三只5 kΩ的电阻器构成分压,它们分别使高电平比较器A1同相比较端和低电平比较器A2的反相输入端的参考电平为2Vcc/3和Vcc/3。A1和A2的输出端控制RS触发器状态和放电管开关状态。当输入信号输入并超过2Vcc/3时,触发器复位,555的输出端3脚输出低电平,同时放电,开关管导通;当输入信号自2脚输入并低于Vcc/3时,触发器置位,555的3脚输出高电平,同时充电,开关管截止.

相关主题
文本预览
相关文档 最新文档