当前位置:文档之家› 最小系统的电路设计

最小系统的电路设计

最小系统的电路设计
最小系统的电路设计

51单片机最小系统的电路设计

摘要:单片机最小系统,无论对单片机初学人员还是开发人员都具有十分重要的意义,可以利用最小系统进行编程实现工业控制。单片机最小系统电路板在单片机开发市场和大学生电子设计方面十分流行。本次课程设计包括AT89S52单片机(51单片机的升级版)最小系统(包括复位和时钟电路)及供电系统、4×4矩阵键盘、独立4个8段LED数码管显示电路。利用Protel电路设计软件进行原理图设计,PCB布线,借此巩固单片机应用、模拟电路、数字电路课程及学会工程软件protel的使用。

关键字:单片机最小系统Protel矩阵键盘LED显示

0引言

单片机对于工业控制界来说,意义是革命性的。从世界上第一块单片机最初的简单控制功能到如今能够满足不同场合的需要,仅仅经历了不到30年的时间。如今世界知名的半导体公司大多有其独立的单片机系列产品。比较著名的有ATMEL公司的AVR(简化指令)系列、德州仪器公司的MSP430(超低功耗)系列、美国半导体公司的COP8(内含高性能16位AD)系列、摩托罗拉公司68HC08(低频高速)系列等。全世界范围内从事单片机开发的人员有上百万之多,原因就是单片机最大的特点:性能十分稳定、适应能力强,并且开发价格低廉、门槛低,非常适用于工业控制。单片机编程只需要一台电脑、一个下载器和一块单片机开发板即可。本次设计是对单片机最小系统的设计,通过进一步扩展可做成单片机开发板。

1设计部分分析

1.1各模块介绍

1.1.1 单片机AT89S52[1]

AT89S52 8位单片机是MSC-51?系列产品的升级版,有世界著名半导体公司ATMEL在购买MSC-51?设计结构后,利用自身优势技术——(掉电不丢数据)闪存生产技术对旧技术进行改进和扩展,同时使用新的半导体生产工艺,最终得到成型产品。与此同时,世界上其他的著名公司也通过基本的51内核,结合公司自身技术进行改进生产,推广一批如51F020等高性能单片机。

AT89S52片内集成256字节程序运行空间、8K字节Flash存储空间,支持最大64K外部存储扩展。根据不同的运行速度和功耗的要求,时钟频率可以设置在0-33M之间。片内资源有4组I/O控制端口、3个定时器、8个中断、软件设置低能耗模式、看门狗和断电保护。可以在4V到5.5V宽电压范围内正常工作。不断发展的半导体工艺也让该单片机的功耗不断降

低。同时,该单片机支持计算机并口下载,简单的数字芯片就可以制成下载线,仅仅几块钱的价格让该型号单片机畅销10年不衰。根据不同场合的要求,这款单片机提供了多种封装,本次设计根据最小系统有时需要更换单片机的具体情况,使用双列直插DIP-40的封装。

DIP-40封装89S52引脚图

1.1.2复位电路及时钟电路

复位电路和时钟电路是维持单片机最小系统运行的基本模块。复位电路通常分为两种:上电复位和手动复位。[2]

上电复位手动复位

有时系统在运行过程中出现程序跑飞的情况,在程序开发过程中,经常需要手动复位。所以本次设计选用手动复位。

高频率的时钟有利于程序更快的运行,也有可以实现更高的信号采样率,从而实现更多的功能。但是告诉对系统要求较高,而且功耗大,运行环境苛刻。考虑到单片机本身用在控制,并非高速信号采样处理,所以选取合适的频率即可。合适频率的晶振对于选频信号强度准确度都有好处,本次设计选取8.000M无源晶振接入XTAL1和XTAL2引脚。并联2个30pF 陶瓷电容帮助起振。

1.1.3八段数码管显示

LED数码管显示是利用半导体发光制成条形的发光二极管,封装在一起组成数字或其他符号形状。数码管根据公共端不同,分为共阴极和共阳极两种形式。

共阴极数码管共阳极数码管

根据设计需要,本次选用共阴极数码管。由于一个数码管不能实现多位数显示,同时从节省I/O端口考虑,结合数电知识,利用74LS139并联四个一位8段数码管。由于点亮数码管需要10-100毫安电流,单片机输出口难以提供,所以加9013型NPN三极管在接入数码管前进行扩流。

74LS139是德州仪器公司生产的集成2个2-4译码器芯片。单片机控制译码器,四个公共端接芯片的Y0、Y1、Y2、Y3,实现对四个数码管的选通。这样,单片机一组端口控制数码管阳极,74芯片通过另外端口选通阴极,即可实现不同数码管显示不同数字。由于74LS139是低电平有效,所以也适用于共阴极数码管。[3]

1.1.44×4矩阵键盘设计

矩阵键盘是在要求按键不多是的一种简易键盘设计。与编码键盘相比,矩阵键盘不需要专门的编码芯片,从而成本低,设计简单,用简单的面包板即可搭成。缺点是占用单片机端口较多,而且编程实现行扫描列扫描比较占用资源。

键盘的原理是在一端接入高电平,用单片机一个端口去检测按键另一端的电平,另一端也是高电平时,说明按键被按下。4×4矩阵键盘,分为4行4列,在每列加上拉电阻(加高电平),然后用程序控制行扫描和列扫描,判断具体哪个按键被按下。由于本身键盘的特点,再设计程序是需要加入消除抖动处理。

1.1.58位AD电压采样电路

本次设计在基本要求的基础上,增加了8位电压采样电路。美国模拟器件公司是世界先进的模拟器件公司。AD775是CMOS工艺低功耗中速8位AD采样芯片。和单片机配合可以很好的实现电压量的采样。该芯片供电电压很宽,可以用5V供电。芯片输出口是三态,好控制。

1.1.6串口通信电路

MAX232是电平转换芯片。1970年,美国电气学会规定“RS232”串口通信协议。规定逻辑“1”,-5— -15V;逻辑“0”,+5— +15V 。噪声容限为2V。要实现利用串口与单片机

进行通信,就要进行电平转换,把标准转化成单片机可以识别的。MAX220–MAX249都是电平转换芯片,在单片机最小系统中,使用MAX232。

1.1.7单片机供电系统

单片机供电采用7805三端线性稳压芯片配合直流稳压电源构成。直流输入低于18V的直流电,输出为5V(误差小于5%),完全满足单片机及其他芯片的要求。

1.2设计软件Prorel

1.2.1 软件简介

Protel公司于80年代末推出了Protel——电子行业的CAD软件,由于最初在国内普及率较高,所以发展较好,大多数公司中低频电路设计都采用此软件。早期的版本主要用于印刷版自动布线。后来推出著名的Protel 99se,能够在Windows 9X/XP下运行。2000年以后,Altium 公司又推出了该软件的升级版Altium Designer。Altium Designer在原有的基础上做出了很大的改进,能够打开如Pspice等仿真软件做出的文件。虽然市场上还有很多电路设计软件,但是该软件还是占有很大的市场份额。现在业界最高版本为Altium Designer Winter 09版。

最高版本支持中文输入,修正了以前软件的一些BUG,并且增加了许多人性化的操作,使用起来更便捷,同时扩充了元件库,在自动布线和模型方面也做了很多优化,增加包括对FPGA项目的支持,同时还增加了BGA封装的布线处理(如INTEL CPU就是这种封装),增加了很多仿真技术。本软件存在的缺点是高频模型不够理想,所以失去了很多市场,对于极其专业的设计方面,显得有些黔驴技穷。但是在一般领域的应用,这款软件的强大功能足以够用。本次设计使用的是Altium Designer Winter 09版本。

1.2.2 Protel电路设计及布线基本规则

该软件简单易学,了解了基本规则以后就可以进行电路板设计。主要掌握内容包括:原理图连线、元件库熟悉、元件库制作、元件封装选取、pcb图生成、印制板规则设置、印制板走线、元器件摆放、基本电磁兼容。[4]

规则设置和印刷版走线比较难掌握。在初学时主要遇到的几个问题包括①设置线宽(>12mil);②设置过孔大小;③设置铺铜与走线的距离(>10mil);④版面的安排。

由于软件功能十分强大,想了解全部功能需要几个月的学习,而且要做出高质量的印制板需要长期大量的工程实践。所以此次设计仅能达到基本要求,更高的标准还需要慢慢提高。2最小系统Protel制图

建立工程:单片机.Prjpcb,添加单片机.Schdoc 单片机.Pcbdoc

2.1 原理图部分

2.1.1 原理图简介

根据课程设计要求及实际情况,本次设计原理图包括九部分:AT89S52、4×4矩阵键盘、四位8段LED显示、复位电路、时钟电路、电源、并口下载接口、电压采样电路、串口通信电路(整图见附录1)。电路设计使用到了单片机原理及应用、数字电路、模拟电路的相关知识。

整个设计过程中,自制了元件库一个(按键开关、单片机、4为排阻)、封装一个(按键开关)。原理图设计主要工作量在于电路设计、制作元件库、元件命名、封装正确合适选取。通过几天的努力,基本完成了设计目标。

2.1.2 最小系统能实现的基本功能

最小系统设计了供电电路及接口,接入9V直流电源,即可启动最小系统。下载线通过并口下载端口连接计算机,在计算机上装入与此单片机匹配的下载软件,即可对单片机进行程序烧写。如果发现程序运行问题,可按下复位重新开始运行。程序编写需按照8M晶振所提供的时钟周期。写入扫描键盘程序,可以读出键盘操作,在数码管中显示,采样电压进行处理,与计算机进行通信等。

2.2 印刷版部分

2.2.1 印刷版制作过程

由于单片机及其他元件引脚较多,单层板难以实现,所以使用双层板,方便走线。将绘制好的原理图点击Update Pcb Document 单片机.pcbdoc选项,将原理图导入,得到一堆未连线的元器件。先对元件进行基本的摆放,再对照原理图进行细致的安排。摆放元器件考虑实际电路特性以及美观、实用性。准备工作做完以后,需要对印刷版规则进行设置。本次设计设置minimum clearance为15mil,最小线宽12mil,默认线宽15mil。然后进行连线。连线尽量整齐,并考虑线长对系统的影响

在布线过程中不断调整元件位置和元器件封装,完成后设置印制板边框,对板正面铺铜为VCC,背面铺铜GND。

由于元件封装遵循的规则与对于一些与规则有冲突的地方在版图上会显示出绿色,确认冲突并不影响实际版图功能的时候,可以不用修改规则,忽略冲突。

2.2.2 印刷版质量对系统的影响

在高频电路中,印刷版对系统性能的影响非常大。此次设计电路最高频率为8M,由于电路数字部分没有影响到其他部分的工作,所以用磁珠区分模拟地和数字地。为了提高性能,通常采取遵循以下几种方式:①大电流线与信号线间隔尽量远;②信号线走线要短、并且要

直;③采用大面积铺地,同时存在模拟电路和数字电路时,供电和铺地要分开;④电流线走电路的外沿;⑤存在大电感或是对其他部分产生干扰的元件时,要考虑元件放置方向和有效的屏蔽。

在实际布线中,考虑到电源对电路的影响,在电路中加入去耦电容和旁路电容,以提高系统稳定性。根据单片机的特性,复位电路和时钟电路离单片机距离较近,键盘显示离单片机较远。电流大些的线根据实际情况用粗线。

缩小版面同时提高性能对设计者有很高的要求。但是,最小系统用于开发和学习,不适宜做的很小,所以此次设计,在板上留有较多空间。

印刷版图见后面附图。

3 课程设计总结

通过本次设计,对单片机硬件电路有了很多更新的认识,让原来纸面化的知识变成了实际的。也让模拟电路、数字电路和单片机应用相关的知识得到了巩固,同时基本学会工程软件Protel的使用。

4参考文献

[1]ATMEL?公司.AT89S528-bit Microcontroller with 8K Bytes In-System Programmable Flash datasheet,2001.5

[2]Analog Device?公司.8-Bit 20 MSPS, 60 mW Sampling A/D Converter datasheet,2002,8

[3]姜志海.单片机原理及应用.北京:电子工业出版社,2005.7

[4]德州仪器公司.74LS139 Dual 2-Line To 4-Line Decoders/Demultiplexers,1988.3.1

[5]刘文涛. Protel 2004设计及应用基础教程与上机指导.北京.清华大学出版社,2006.2

[6]邵群涛,电气制图与电子线路CAD,第1版,机械工业出版社,2005;

4--单片机最小系统的原理图绘制

教 学环节教学容与过程 师 生 活 动 教学 方法 设计 意图 复习引入 新课教学 第一课时 一、项目描述: 通过单片机最小系统的原理图设计,对Protel DXP 2004 绘制原理图的过程有进一步的了解,掌握总线与总线入口的绘 制方法,网络标号的正确使用,原理图的报表生成、网络表、 元件库的生成。 过程: 1、新建项目:新建一个PCB项目,命名为“单片机 最小系统.PrjPCB”并保存,在项目中新建一个原 理图文件“87cs20.SchDoc”。 2、设置图纸参数:执行【设计】【文档选项】进行设 置。 3、放置元器件 4、绘制导线 教 师 指 导 学 生 上 机 操 作 练 习 项目 教学 法 任务 驱动 教学 法 让学 生学 会单 片机 最小 系统 的原 理图 设计

新课教学二、总线与总线入口的绘制方法 1、绘制总线:执行【放置】【总线】命令,按【TAB】键修改 总线属性。 2、绘制总线入口 3、放置网络标号 网络标号具有电气特性。 教 师 指 导 学 生 练 习 学会 总线 的绘 制方 法

三、放置忽略ERC检查标记 四、生成原理图报表(元件采购清单) 本软件可以提供采购清单,避免出错。 执行【报告】【bills of materials】菜单命令,打开项目元件列表对话框。 五、原理图的网络表 网络表是原理图与印制板电路的桥梁。 生成网络表的方法:执行【设计】【设计项目的网络表】【Protel】命令,系统自动生成网络表文件,后缀名为.NET。 网络表每一个[…]表示一个元件和它的主要参数,每一个学会生成原理图的各种文件如网络表等

总结 作业(…)表示一个网络,其中显示的是元件的引脚编号,同一个(…)中的引脚在电气意义上是相连的,此信息对PCB制版至关重要。 六、项目元件库的 生成 执行【设计】【建立设 计项目库】菜单命令, 生成一个与项目 同名的元件库。

DSP最小系统电路设计

D S P最小系统电路设计 Revised by Petrel at 2021

目录 摘要...................................................... I 第1章绪论 ...................................................................... 错误!未定义书签。第2章总体设计 . (1) 系统要实现的功能 (1) 系统的设计流程 (2) 原理框图 (2) 第3章DSP最小系统电路设计 ..................................... 错误!未定义书签。 电源电路设计 (3) 复位电路设计 (4) 时钟电路设计 (4) JTAG接口电路设计........................ 错误!未定义书签。 DSP的串行接口电路设计 (5) 存储器FLASH扩展设计 (5) 第4章软件设计 (6) 仿真工作原理及测试步骤 (9) 测试程序 (9) 测试的注意事项 (10) 总结 ................................................................................... 错误!未定义书签。致谢 . (9) 参考文献 (10) 第1章绪论 DSP 有两种涵义,一种是Digital Signal Processing,指的是数字信号处理技术;一种是Digital Signal Processor,指的是数字信号处理器。两者是不可分割的,前者是理论上的技术,要通过后者变成实际产品,两者结合起来才成为解决某一实际问题和实现某一方案的手段。数字信号处理器是目前 IT 领域中发展极为迅速的一类微处理器,其功能强大,应用范围相当广泛,能够完成实时的数字信号处理任务。DSP的性能几乎决定了电子产品的性能。在人们生活当中,DSP可谓无处不

51单片机最小系统电路介绍

51单片机最小系统电路介绍 单片机最小系统复位电路的极性电容C1的大小直接影响单片机的复位时间,一般采用10~30uF,51单片机最小系统容值越大需要的复位时间越短。 单片机最小系统晶振Y1也可以采用6MHz或者,在正常工作的情况下可以采用更高频率的晶振,51单片机最小系统晶振的振荡频率直接影响单片机的处理速度,频率越大处理速度越快。 单片机最小系统起振电容C2、C3一般采用15~33pF,并且电容离晶振越近越好,晶振离单片机越近越好 口为开漏输出,作为输出口时需加上拉电阻,阻值一般为10k。其他接口内部有上拉电阻,作为输出口时不需外加上拉电阻。 设置为定时器模式时,加1计数器是对内部机器周期计数(1个机器周期等于12个振荡周期,即计数频率为晶振频率的1/12)。计数值N乘以机器周期Tcy就是定时时间t。 " 设置为计数器模式时,外部事件计数脉冲由T0或T1引脚输入到计数器。在每个机器周期的S5P2期间采样T0、T1引脚电平。当某周期采样到一高电平输入,而下一周期又采样到一低电平时,则计数器加1,更新的计数值在下一个机器周期的S3P1期间装入计数器。由于检测一个从1到0的下降沿需要2个机器周期,因此要求被采样的电平至少要维持一个机器周期。当晶振频率为12MHz时,最高计数频率不超过1/2MHz,即计数脉冲的周期要大于2 ms。 标识符号地址寄存器名称 P3 0B0H I/O口3寄存器 PCON 87H 电源控制及波特率选择寄存器 SCON 98H 串行口控制寄存器 SBUF 99H 串行数据缓冲寄存器 TCON 88H 定时控制寄存器 TMOD 89H 定时器方式选择寄存器 TL0 8AH 定时器0低8位 - TH0 8CH 定时器0高8位 TL1 8BH 定时器1低8位 TH1 8DH 定时器1高8位

集成电路设计方法的发展历史

集成电路设计方法的发展历史 、发展现状、及未来主流设 计方法报告 集成电路是一种微型电子器件或部件,为杰克·基尔比发明,它采用一定的工艺,把一个电路中所需的晶体管、二极管、电阻、电容和电感等元件及布线互连一起,制作在一小块或几小块半导体晶片或介质基片上,然后封装在一个管壳内,成为具有所需电路功能的微型结构;其中所有元件在结构上已组成一个整体,使电子元件向着微小型化、低功耗和高可靠性方面迈进了一大步。集成电路具有体积小,重量轻,引出线和焊接点少,寿命长,可靠性高,性能好等优点,同时成本低,便于大规模生产。它不仅在工、民用电子设备如收录机、电视机、计算机等方面得到广泛的应用,同时在军事、通讯、遥控等方面也得到广泛的应用。用集成电路来装配电子设备,其装配密度比晶体管可提高几十倍至几千倍,设备的稳定工作时间也可大大提高。 一、集成电路的发展历史: 1947年:贝尔实验室肖克莱等人发明了晶体管,这是微电子技术发展中第一个里程碑; 1950年:结型晶体管诞生; 1950年: R Ohl和肖特莱发明了离子注入工艺; 1951

年:场效应晶体管发明; 1956年:C S Fuller发明了扩散工艺; 1958年:仙童公司Robert Noyce与德仪公司基尔比间隔数月分别发明了集成电路,开创了世界微电子学的历史; 1960年:H H Loor和E Castellani发明了光刻工艺;1962年:美国RCA公司研制出MOS场效应晶体管; 1963年:和首次提出CMOS技术,今天,95%以上的集成电路芯片都是基于CMOS工艺; 1964年:Intel摩尔提出摩尔定律,预测晶体管集成度将会每18个月增加1倍; 1966年:美国RCA公司研制出CMOS集成电路,并研制出第一块门阵列; 1967年:应用材料公司成立,现已成为全球最大的半导体设备制造公司; 1971年:Intel推出1kb动态随机存储器,标志着大规模集成电路出现; 1971年:全球第一个微处理器4004Intel公司推出,采用的是MOS工艺,这是一个里程碑式的发明; 1974年:RCA公司推出第一个CMOS微处理器1802; 1976年:16kb DRAM和4kb SRAM问世; 1978年:64kb动态随机存储器诞生,不足平方厘米的硅片上集成了14万个晶体管,标志着超大规模集成电路时

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

系统硬件电路的设计(PLC)

第1章系统硬件电路的设计 1.1PLC的简介 1.1.1可编程控制器的概念 可编程控制器(Programmable Logical Controller)简称PLC。国际电工委员会(IEC)在1985年的PLC标准草案第3稿中,对PLC作了如下定义:“可编程控制器是一种数字运算操作的电子系统,专为在工业环境下应用而设计。它采用可编程序的存储器,用来在其内部存储执行逻辑运算、顺序控制、定时、计数和算术运算等操作的指令。并通过数字式、模拟式的输入和输出,控制各种类型的机械或生产过程。可编程控制器及其有关设备,都应按易于使工业控制系统形成一个整体,易于扩充其功能的原则设计。” 现代工业生产过程是复杂多样的,它们对控制的要求也各不相同。PLC一经出现就受到了广大工程技术人员的欢迎。PLC具有如下特点: 1、编程方法简单易学。 2、功能强,性能价格比高。 3、硬件配套齐全,用户使用方便,适应性强。 4、可靠性高,抗干扰能力强。 5、系统的设计、安装、调试工作量少。 6、维修工作量小,维修方便。 7、体积小,能耗低。 1.1.2PLC的应用领域 PLC的初期由于其价格高于继电器控制装置,使得其应用受到限制。但最近十年来,PLC的应用面越来越广,其主要原因是:一方面由于处理器芯片及有关元件的价格大大下降,使得PLC的成本下降;另一方面PLC的功能大大增加,能解决复杂的计算和通信问题。目前PLC在国内外已广泛应用于钢铁、采矿、石油、化工、电力、机械制造、汽车、装卸、纺织、环保和娱乐等行业。PLC

的应用范围通常分成以下5种类型: 1、顺序控制 这是PLC应用最广泛的领域,也是最适合PLC使用的领域,它用来取代传统的继电器顺序控制。PLC应用于单机控制、多机控制、生产自动线控制等。例如:注塑机械、印刷机械、订书机械、包装机械、切纸机械、组合机床、磨床、装配生产线、电镀流水线及电梯控制。 2、运动控制 PLC制造商目前已提供了拖动步进电机或伺服电机的单轴或多轴位置控制模块,在多数情况下,PLC把描述目标位置的数据送给模块,其输出移动一轴或数轴到目标位置,每个轴移动时,位置控制模块保持适当的速度和加速度,保持运动平滑。 相对来说,位置控制模块比CNC装置体积更小,价格更低,速度更快,操作更方便, 3、过程控制 PLC还能控制大量的过程参数,例如:温度、流量、压力、液位和速度等。PID模块提供了使PLC具有了闭环控制的功能,即一个具有PID控制能力的PLC 可用于过程控制。当过程控制中某个变量出现偏差时,PID控制算法会计算出正确的输出,把变量保持在设定值上。 4、数据控制 在机械加工中,PLC作为主要的控制和管理系统用于CNC和NC系统中,可以完成大量的数据控制。 5、通信控制 PLC的通信包括主机与远程I/O的通信、多台PLC之间的通信、PLC和其他智能控制设备(如计算机、变频器、数控装置)之间的通信。PLC与其他智能控制设备一起,可以组成“集中管理、分散控制”的分布式控制系统。 1.1.3PLC的系统组成 PLC种类繁多,但其组成和工作原理基本相同。用PLC实施控制,其实质是按一定算法进行输入/输出变换,并将这个变换给以物理实现,应用于工业现

单片机最小系统原理图

单片机最小系统 单片机最小系统,或者称为最小应用系统,是指用最少的元件组成的单片机可以工作的 系统. 对51系列单片机来说,最小系统一般应该包括:单片机、晶振电路、复位电路. 下面给出一个51单片机的最小系统电路图. 说明

复位电路:由电容串联电阻构成,由图并结合"电容电压不能突变"的性质,可以知道,当系统一上电,RST脚将会出现高电平,并且,这个高电平持续的时间由电路的RC值来决定.典型的51单片机当RST脚的高电平持续两个机器周期以上就将复位,所以,适当组合RC的取值就可以保证可靠的复位.一般教科书推荐C 取10u,R取8.2K.当然也有其他取法的,原则就是要让R C组合可以在RST脚上产生不少于2个机周期的高电平.至于如何具体定量计算,可以参考电路分析相关书籍. 晶振电路:典型的晶振取11.0592MHz(因为可以准确地得到9600波特率和19200波特率,用于有串口通讯的场合)/12MHz(产生精确的uS级时歇,方便定时操作) 单片机:一片AT89S51/52或其他51系列兼容单片机 特别注意:对于31脚(EA/Vpp),当接高电平时,单片机在复位后从内部ROM的0000H开始执行;当接低电平时,复位后直接从外部ROM的0000H开始执行.这一点是初学者容易忽略的. 复位电路: 一、复位电路的用途 单片机复位电路就好比电脑的重启部分,当电脑在使用中出现死机,按下重启按钮电脑内部的程序从头开始执行。单片机也一样,当单片机系统在运行中,受到环境干扰出现程序跑飞的时候,按下复位按钮内部的程序自动从头开始执行。 单片机复位电路如下图:

二、复位电路的工作原理 在书本上有介绍,51单片机要复位只需要在第9引脚接个高电平持续2US就可以实现,那这个过程是如何实现的呢? 在单片机系统中,系统上电启动的时候复位一次,当按键按下的时候系统再次复位,如果释放后再按下,系统还会复位。所以可以通过按键的断开和闭合在运行的系统中控制其复位。 开机的时候为什么为复位 在电路图中,电容的的大小是10uF,电阻的大小是10k。所以根据公式,可以算出电容充

集成电路设计方法--复习提纲

集成电路设计方法--复习提纲 2、实际约束:设计最优化约束:建立时钟,输入延时,输出延时,最大面积 设计规则约束:最大扇出,最大电容 39.静态时序分析路径的定义 静态时序分析通过检查所有可能路径上的时序冲突来验证芯片设计的时序正确性。时序路径的起点是一个时序逻辑单元的时钟端,或者是整个电路的输入端口,时序路径的终点是下一个时序逻辑单元的数据输入端,或者是整个电路的输出端口。 40.什么叫原码、反码、补码? 原码:X为正数时,原码和X一样;X为负数时,原码是在X的符号位上写“1”反码:X为正数是,反码和原码一样;X为负数时,反码为原码各位取反 补码:X为正数时,补码和原码一样;X为负数时,补码在反码的末位加“1” 41.为什么说扩展补码的符号位不影响其值? SSSS SXXX = 1111 S XXX + 1 —— 2n2n12n1例如1XXX=11XXX,即为XXX-23=XXX+23-24. 乘法器主要解决什么问题? 1.提高运算速度2.符号位的处理 43.时钟网络有哪几类?各自优缺点? 1. H树型的时钟

网络: 优点:如果时钟负载在整个芯片内部都很均衡,那么H 树型时钟网络就没有系统时钟偏斜。缺点:不同分支上的叶节点之间可能会出现较大的随机偏差、漂移和抖动。 2. 网格型的时钟网络 优点:网格中任意两个相近节点之间的电阻很小,所以时钟偏差也很小。缺点:消耗大量的金属资源,产生很大的状态转换电容,所以功耗较大。 3.混合型时钟分布网络优点:可以提供更小的时钟偏斜,同时,受负载的影响比较小。缺点:网格的规模较大,对它的建模、自动生成可能会存在一些困难。 总线的传输机制? 1. 早期:脉冲式机制和握手式机制。 脉冲式机制:master发起一个请求之后,slave在规定的t时间内返回数据。 握手式机制:master发出一个请求之后,slave在返回数据的时候伴随着一个确认信号。这样子不管外设能不能在规定的t时间内返回数据,master都能得到想要的数据。 2. 随着CPU频率的提高,总线引入了wait的概念 如果slave能在t时间内返回数据,那么这时候不能把wait信号拉高,如果slave不能在t时间内返回数据,那么必须在t时间内将wait信号拉高,直到slave将可以返回

集成电路设计流程

集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 集成电路设计流程 . 集成电路设计方法 . 数字集成电路设计流程 . 模拟集成电路设计流程 . 混合信号集成电路设计流程 . SoC芯片设计流程 State Key Lab of ASIC & Systems, Fudan University 正向设计与反向设计 State Key Lab of ASIC & Systems, Fudan University 自顶向下和自底向上设计 State Key Lab of ASIC & Systems, Fudan University Top-Down设计 –Top-Down流程在EDA工具支持下逐步成为 IC主要的设计方法 –从确定电路系统的性能指标开始,自系 统级、寄存器传输级、逻辑级直到物理 级逐级细化并逐级验证其功能和性能 State Key Lab of ASIC & Systems, Fudan University Top-Down设计关键技术 . 需要开发系统级模型及建立模型库,这些行 为模型与实现工艺无关,仅用于系统级和RTL 级模拟。 . 系统级功能验证技术。验证系统功能时不必 考虑电路的实现结构和实现方法,这是对付 设计复杂性日益增加的重要技术,目前系统 级DSP模拟商品化软件有Comdisco,Cossap等, 它们的通讯库、滤波器库等都是系统级模型 库成功的例子。 . 逻辑综合--是行为设计自动转换到逻辑结构 设计的重要步骤 State Key Lab of ASIC & Systems, Fudan University

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

电路系统设计由哪几部分电路组成

电路系统设计由哪几部分电路组成? 电路系统设计由五部分组成:锂芯容量指示电路、电芯保护电路、充电管理电路、DC-DC升压电路和功能扩展电路。锂芯容量指示电路由XC61CC系列的电压监控芯片组成。电芯保护电路由过充保护、过放保护、过温保护三部分组成,HAT2027、R5402、自恢复保险丝构建了三重保护,使锂芯安全性大大增强。 充电管理电路采用了CN3066,将充电过程分为涓流充电、恒流充电、恒压充电和维护充电四个部分,使移动随身电源能够最大程度地储备能量。DC-DC升压电路采用了MAX1771集成芯片,可将锂芯容量在安全范围内最大限度释放,达到对多种数码设备供电的目的。功能扩展涵盖了户外活动所涉及的常见需求,具有应急夜间高亮照、户外防盗安全警报、野营驱蚊等功能。 DC-DC升压电路主要由MAX1771构成,该控制器采用独特的控制方案,结合PFM(脉冲频率调制)及PWM(脉冲宽度调制)的优越性,提供一个高效、较宽电压调节范围的电源。具有较小的静态电流,负载小的情况下效率较高,但纹波较大。后者在负载大的情况下具有较高的效率,噪声小。 该控制器采用的是一种改进型的限流PFM控制方式,控制电路限制电感充电电流,使其不超过某一峰值电流。既保持了传统PFM的 低静态电流,同时在较大负载的情况下,也具有很高的效率。而且由

于限制了峰值电流,采用很小体积的外围元件就可获得满意的输出纹波,这样便于降低电路成本及尺寸。 MAX1771外接MOS管平时是关闭的,此时电感储能。关闭期间,MAX1771会检测外部输入电压,一旦降低到了一定限度,MA X1771就会开启外部MOS管,电感释放能量,重新提供驱动电压。开关频率随负载电流和输入电压而定。5V电压通过两个反馈电阻分压得到。此外,续流二极管选用肖特基二极管SS34,该器件正向导通电压小,响应时间短。 电路设计采用了一种比较简单且实用的方法,即通过测试锂芯电池放电的时间电压特性曲线,选取整个放电过程的四个位点电压,用电压来估算电池的容量。

单片机最小系统电路图

单片机最小系统电路图

————————————————————————————————作者:————————————————————————————————日期: 2

单片机基础实践 D0D1D2D3D4D5D6D7EA ALE PSEN P1.01P1.12P1.23P1.34P1.45P1.56P1.67P1.78RST 9P3.0(RXD)10P3.1(TXD)11P3.2(INT0)12P3.3(INT1)13P3.4(T0)14P3.5(T1)15P3.6(WR)16P3.7(RD)17XTAL218XTAL119GND 20 P2.0 21 P2.122P2.223P2.324P2.425P2.526P2.627P2.728PSEN 29ALE 30EA 31P0.732P0.633P0.534P0.435P0.336P0.237P0.138P0.039Vcc 40U1 STC89C52 P10P11P12P13P14P15P16P17P20 P21P22P23P24P25P26P27P30P31P32P33P34P35P36P37X2X1 RST Vcc 图1 单片机STC89C52电路图

4 3 2 Vcc R11k D LED 4 3 123456789J1 CON9 D0D1D2D3D4D5D6D7 Vcc 5 43+ C8 1 234 B1 R2 Vcc RST 图2 电源指示灯 图3 单片机P0口上拉电阻 图4 复位电路 Y C1 C2 X1 X2 2 1 D 123 4 56K1 1234USB USB VCC 图5 晶振电路 图6 USB 供电电路

集成电路的设计方法探讨

集成电路的设计方法探讨 摘要:21世纪,信息化社会到来,时代的进步和发展离不开电子产品的不断进步,微电子技术对于各行各业的发展起到了极大的推进作用。集成电路(integratedcircuit,IC)是一种重要的微型电子器件,在包括数码产品、互联网、交通等领域都有广泛的应用。介绍集成电路的发展背景和研究方向,并基于此初步探讨集成电路的设计方法。 关键词集成电路设计方法 1集成电路的基本概念 集成电路是将各种微电子原件如晶体管、二极管等组装在半导体晶体或介质基片上,然后封装在一个管壳内,使之具备特定的电路功能。集成电路的组成分类:划分集成电路种类的方法有很多,目前最常规的分类方法是依据电路的种类,分成模拟集成电路、数字集成电路和混合信号集成电路。模拟信号有收音机的音频信号,模拟集成电路就是产生、放大并处理这类信号。与之相类似的,数字集成电路就是产生、放大和处理各种数字信号,例如DVD重放的音视频信号。此外,集成电路还可以按导电类型(双极型集成电路和单极型集成电路)分类;按照应用领域(标准通用集成电路和专用集成电路)分类。集成电路的功能作用:集成电路具有微型化、低能耗、寿命长等特点。主要优势在于:集成电路的体积和质量小;将各种元器件集中在一起不仅减少了外界电信号的干扰,而且提高了运行

速度和产品性能;应用方便,现在已经有各种功能的集成电路。基于这些优异的特性,集成电路已经广泛运用在智能手机、电视机、电脑等数码产品,还有军事、通讯、模拟系统等众多领域。 2集成电路的发展 集成电路的起源及发展历史:众所周知,微电子技术的开端在1947年晶体管的发明,11年后,世界上第一块集成电路在美国德州仪器公司组装完成,自此之后相关的技术(如结型晶体管、场效应管、注入工艺)不断发展,逐渐形成集成电路产业。美国在这一领域一直处于世界领先地位,代表公司有英特尔公司、仙童公司、德州仪器等大家耳熟能详的企业。集成电路的发展进程:我国集成电路产业诞生于六十年代,当时主要是以计算机和军工配套为目标,发展国防力量。在上世纪90年代,我国就开始大力发展集成电路产业,但由于起步晚、国外的技术垄断以及相关配套产业也比较落后,“中国芯”始终未能达到世界先进水平。现阶段我国工业生产所需的集成电路主要还是依靠进口,从2015年起我国集成电路进口额已经连续三年比原油还多,2017年的集成电路进口额超过7200亿元。因此,在2018年政府工作报告中把推动集成电路产业发展放在了五大突出产业中的首位,并且按照国家十三五规划,我国集成电路产业产值到2020年将会达到一万亿元。中国比较大型的集成电路设计制造公司有台积电、海思、中兴等,目前已在一些技术领域取得了不错的成就。集成电路的发展方向:提到集成电路的发展,就必须要说到摩尔定律:集成度每18个月翻一番。而现今正处在

DSP最小系统电路设计说明

DSP最小系统电路设计 第1章绪论 DSP 有两种涵义,一种是Digital Signal Processing,指的是数字信号处理技术;一种是Digital Signal Processor,指的是数字信号处理器。两者是不可分割的,前者是理论上的技术,要通过后者变成实际产品,两者结合起来才成为解决某一实际问题和实现某一方案的手段。数字信号处理器是目前 IT 领域中发展极为迅速的一类微处理器,其功能强大,应用围相当广泛,能够完成实时的数字信号处理任务。DSP的性能几乎决定了电子产品的性能。在人们生活当中,DSP 可谓无处不在,例如手机,电视机,数码相机,MP3等等都有DSP的存在。DSP 已经成为通信、计算机和消费类电子产品等领域的基础器件。因此,只有理论的学习是不够的,设计一个DSP最小系统,掌握这门重要技术,才能更深刻地理解和掌握DSP,为今后进行高精度、高性能的电子设计打下基础。 DSP 芯片是模拟信号变换成数字信号以后进行高速实时处理的专用微处理器,其处理速度比最快的CPU还快10-50 倍,具有处理速度高、功能强、性能价格比好以及速度功耗比高等特点,被广泛应用于具有实时处理要求的场合。 DSP 系统以 DSP 芯片为基础,具有以下优点。 1.高速性 DSP 系统的运行速度较高,最新的DSP运行速度高达1000MIPS 以上。 2.编程方便 可编程DSP可使设计人员在开发过程中灵活方便的对软件进行修改和升级。 3.稳定性好 DSP 系统以数字处理为基础,受环境温度及噪声的影响比较小,可靠性高。 4.可重复性好 数字系统的性能基本上不受元器件参数性能的影响,便于测试、调试和大规模生产。 5.集成方便 DSP 系统中的数字部件有高度的规性,便于大规模集成。 6.性价比高 常用的DSP价格在5美元以下。

单片机最小系统电路

单片机最小系统的相关知识 复位电路: 一、复位电路的用途:单片机复位电路就好比电脑的重启部分,当电脑在使用中出现死机,按下重启按钮电脑内部的程序从头开始执行。单片机也一样,当单片机系统在运行中,受到环境干扰出现程序跑飞的时候,按下复位按钮内部的程序自动从头开始执行。单片机复位电路如下图: 二、复位电路的工作原理在书本上有介绍,51单片机要复位只需要在第9引脚接个高电平持续2us就可以实现,那这个过程是如何实现的呢?

在单片机系统中,系统上电启动的时候复位 一次,当按键按下的时候系统再次复位,如果释 放后再按下,系统还会复位。所以可以通过按键 的断开和闭合在运行的系统中控制其复位。 开机的时候为什么会复位:在电路图中,电 容的的大小是10uF,电阻的大小是10k。所以根 据公式,可以算出电容充电到电源电压的0.7倍 (单片机的电源是5V,所以充电到0.7倍即为 3.5V),需要的时间是10K*10UF=0.1S。也就是 说在单片机启动的0.1S内,电容两端的电压时在0~3.5V增加。这个时候10K电阻两端的电压为从5~1.5V减少(串联电路各处电压之和为总电压)。所以在0.1S内,RST引脚所接收到的电压是5V~1.5V。在5V正常工作的51单片机中小于1.5V的电压信号为低电平信号,而大于1.5V 的电压信号为高电平信号。所以在开机0.1S内,单片机系统自动复位(RST引脚接收到的高电平信号时间为0.1S左右)。 按键按下的时候为什么会复位:在单片机启动0.1S后,电容C两端的电压持续充电为5V,这是时候10K电阻两端的电压接近于0V,RST处于低电平所以系统正常工作。当按键按下的时候,开关导通,这个时候电容两端形成了一个回路,电容被短路,所以在按键按下的这个过程中,电容开始释放之前充的电量。随着时间的推移,电容的电压在0.1S内,从5V释放到变为了1.5V,甚至更小。根据串联电路电压为各处之和,这个时候10K电阻两端的电压为3.5V,甚至更大,所以RST引脚又接收到高电平。单片机系统自动复位。 晶振电路: 晶振电路:晶振是晶体振荡器的简称在 电气上它可以等效成一个电容和一个电阻并 联再串联一个电容的二端网络电工学上这个 网络有两个谐振点以频率的高低分其中较低 的频率是串联谐振较高的频率是并联谐振由于晶体自身的特性致使这两个频率的距离相当的接近在这个极窄的频率范围内晶振等效为一个电感所以只要晶振的两端并联上合适的电容它就会组成并联谐振电路这个并联谐振电路加到一个负反馈电路中就可以构成正弦波振荡电路由于晶振等效为电感的频率范围很窄所以即使其他元件的参数变化很大这个振荡器的频率也不会有很大的变化 晶振有一个重要的参数那就是负载电容值选择与负载电容值相等的并联电容就可以得到晶振标称的谐振频率

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

单片机硬件电路设计

单片机应用设计

概述 单片机是一种大规模的具有计算机基本功能的单片 单片机是一种大规模的具有计算机基本功能的单片集成电路。可以与少量外围电路构成一个小而完善的计算机系统。芯片内置和外围的电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。 单片机具有体积小、功耗低、控制功能强、扩 单片机具有体积小、功耗低、控制功能强、扩展灵活、使用方便等优点,广泛应用于仪器仪表、家用电器、医用设备、航空航天、通信产品、智能玩具、汽车电子、专用设备的智能化管理及过程控制等领域。 制等领域。

单片机类型 集中指令集(CISC)和精简指令集(RISC)–采用CISC结构的单片机数据线和指令线分时复 用,即所谓冯.诺伊曼结构。它的指令丰富,功 能较强,但取指令和取数据不能同时进行,速度 受限,价格亦高。 –采用RISC结构的单片机,数据线和指令线分离 ,即所谓哈佛结构。这使得取指令和取数据可同 时进行,且由于一般指令线宽于数据线,使其指 令较同类CISC单片机指令包含更多的处理信息 ,执行效率更高,速度亦更快。同时,这种单片 机指令多为单字节,程序存储器的空间利用率大 大提高,有利于实现超小型化。

常用的几个系列单片机 MCS-51及其兼容系列: –英特尔公司的MCS-51系列单片机是目前应 用最广泛的8位单片机之一,并且ATMEL、 PHILIPS、ADI、MAXIM、LG、 SIEMENS等公司都有其兼容型号的芯片。 这个系列的单片机具有运算与寻址能力强, 存储空间大,片内集成外设丰富,功耗低等 优点,其中大部分兼容芯片都含有片内 FLASH程序存储器,价格便宜。适合应用于 仪器仪表、测控系统、嵌入系统等开发。

测控系统硬件电路设计

测控系统硬件电路设计 一 —、摘要: 为完成测控系统中力矩、角度、电压和电流等 I/O 信号的采集、显示和分析,先 选择合适型号的主控计算机,外围板I/O 板卡,多路开关,传感器及变送器设计 出了计算机控制系统的硬件电路,最后通过对采集的信号进行分析验证硬件电路 图的合理性。 二、信号采集的原理框图: 、测控系统的技术要求 设计计算机控制系统,以完成对上表所示的物理量采集、显示和分析 四、硬件选型 1、主控计算机: 它是整个计算机控制系统的核心。主机由 CPU 。、存储器等构成。它通过由 过程输入通道发送来的工业对象的生产工况参数, 按照人们预先安排的程序,自 动地进行信息处理、分析和计算,并作出相应的控制决策或调节,以信息的形式 通过输出通道,及时发出控制命令,实现良好的人机联系。目前采用的主机有 PC 机及工业PC 机机 (IPC )等。 根据此次控制的要求控制的要求我们选择的主控计算机的类型为: 945芯片全长 卡PentiumD 双核工控机FSB-945GC 主要配置: ? CPU :支持 LGA775 圭寸装 In tel Celero n D 、Pen tium 4、Pen tium D 、Core Duo 及Core2 Duo 处理器 ?芯片组:In tel 945G+ICH7 ? 系统内存:支持双通道533/667MHZ DDR2内存,最大支持4G 以太网:板载1个 千兆网络接口,Intel 82574L 芯片 ?BIOS 支持:4M 可擦写Flash Rom 用户可方便升级

?硬盘接口:4个SATA U接口、1 个IDE UltraDMA-100/66/33 接口 ? CompactFlash : Type n CF 卡接口 ?扩展接口:可扩充4个32位标准PCI插槽和8个ISA插槽 *看门狗功能:1~255秒,可编程 ?电源:ATX / AT ?主板尺寸:338.2mm X121.9mm (长/宽)输入/输出 通用串行总线:6个USB 2.0 ?串口:2个串口 ?并口:1个并口,支持SPP/EPP/ECP 模式 2、传感器与变送器: 传感器的作用是把非电物理量(如温度、压力、速度等)转换成电压或电流信号。例如,使用热电偶可以获得随着温度变化而变化的电压信号;转速传感器 可以把转速转换为电脉冲信号。 如果信号调理电路输出的是规范化的标准信号(如4s20mA 1s 5V等),这种 信号调理电路称为变送器。 在工业控制领域,常常将传感器与变送器做成一体,统称为变送器。变送器输出的标准信号一般送往智能仪表或计算机系统。 测控系统中需要对力矩,角度,电压和电流进行采集,选用的传感器和变送器分别为:CYT-301静止型扭矩传感器:CYT-301静止型扭矩传感器适于静态或者旋转角度小于360度的扭矩检测 主要技术参数: 量程0?土1N.m—± 100KN.m可选 供电电源5-15VDC(标准10V DC)或24VDC 输出信号?1mv/v、4-20mA、0-5V、1-5V(系统选择)、5-15kHz (可选)精度± 0.1%FS 土0.25%FS 土0.5%FS 美国E.P.C光电旋转编码器:通过输出脉冲数及脉冲的宽度可实现角度的测量,最高每转输出脉冲达30000个 755A系列电参数主要技术参数: 输入电压5VDC-28VDC (最小4.75VDC,最大28VDC) 当操作温100摄氏度时为5-24VDC 输入电流最大无负载电流为100mA 波动在5VDC时,为峰-峰值得2% 输出电流对上拉电阻和集电极开路,最大漏电流为100mA;推拉输出及线驱动为50mA. 类型在正交输出中,当转轴顺时针转动时,A通道的方波领先B通道 电路NPN集电极开路;NPN上拉电阻:推拉输出极 高电压5VDC-28VDC线驱动(在5VDC时,符合RS422标准) 基准脉冲每转一周输出一次脉冲 电压:A1单路直流电压高精度变送器LF-DV11-54A1-0.2/0~10V 表示0~10V输入量程、4~20 mA输出、24V供电、A1外型的单路直流电压三隔离变送器输入范围:0~1000V内可选如0~75mV,0~300V等 精度等级:<0.2%.FS 电流:A41直流电流变送器:将被测直流电流隔离转换成按线性比例输出的单路标准直流

集成电路设计方法与设计流程

集成电路设计方法与设计流程 集成电路设计概述 集成电路设计描述 集成电路设计策略 基于硬件描述语言的集成电路设计方法 集成电路设计流程及EDA工具

1、正向设计与反向设计按功能和实现的先后顺序分

1、正向设计与反向设计 反向设计方法的应用领域越来越小 ?功能的多样化和专门化 ?集成度越来越高,十亿晶体管;保密措施 ?光学显微镜受限:日本奥林巴斯:0.35um;德国徕卡:0.18um;日本尼康:0.25um;德国蔡 司:0.13um,+UV共轭紫外线(14万$) ?反应离子蚀刻(RIE)机受限: Al互连,Cu互连 正向设计方法得到了越来越广泛的研究和应用?关键技术是综合技术,主要依赖于包括高层次综合、逻辑综合、版图综合在内的各个层次的综合方法和工具的发展,而高层次综合是首要环节.

2、自顶向下和自底向上设计 从整体和局部的先后顺序上分

Top-Down设计 Top-Down流程在EDA工具支持下逐步成为IC 主要的设计方法 ?从确定电路系统的设计指标开始 ?将系统划分为各个功能模块,每个模块 由更细化的行为描述表达 ?自系统级、寄存器传输级、逻辑级直到 物理级逐级细化并逐级验证其功能和性 能

Top-Down设计关键技术 系统级功能验证技术 不必考虑电路的实现结构和实现方法,这是对付设计复杂性日益增加的重要技术 需要开发系统级模型及建立模型库 这些模型与实现工艺无关,仅用于系统级和RTL 级模拟 Cadence的SPW:行为算法级设计工具 Synopsys COSSAP:DSP & communication design environment,其中的通讯库、滤波器库等 都是系统级模型库成功的例子 目前存在的可能: 缺少可综合的系统级库资源 通过行为级综合工具把功能级描述转换成RTL级描述,速度最快可达到传统人工方式的20倍,但 工具尚未实用化

相关主题
文本预览
相关文档 最新文档