当前位置:文档之家› 广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试

广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试

广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试
广州大学数电实验报告组合逻辑电路的设计和MSI译码器逻辑功能测试

广州大学学生实验报告

开课学院及实验室: 年 月 日

学 院

年级、专

业、班

姓名

学号

实验课程名称 数字电子技术实验

成绩

实验项目名称

组合逻辑电路的设计和MSI 译码器逻辑功

能测试

指 导

教 师

一、实验目的 二、实验原理 三、使用仪器、材料 四、实验步骤

五、实验过程原始记录(数据、图表、计算等) 六、实验结果及分析

一、实验目的

组合逻辑电路的设计

1、学习组合逻辑电路的设计与测试方法。

2、掌握使用通用逻辑器件实现逻辑电路的一般方法 MSI 译码器逻辑功能测试

1.掌握中规模(MSI)集成译码器的逻辑功能和使用方法 2.验证3—8线译码器和七段显示译码器的逻辑功能 3.掌握数码管与译码器配合使用的方法 二、实验原理

(一)组合逻辑电路的设计

1.设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红黄绿三个灯组成。在正常工作情况下,任何时候必须有一个灯亮,而且只允许有一个灯亮;当出现其它点亮状态时,表示电路发生故障,这时要求发出故障报警信号,以提醒维护人员前去修理。

2.设计步骤

(1)变量、函数的定义及取值

红灯----R,黄灯----Y ,绿灯----G,监视灯----Z ,A,B,C,亮为1,不亮为0;监视灯亮、有故障为1,不亮、无故障为0. (2)逻辑表达式

Z=((R ’G ’Y ’)’(RY)’(RG)’(YG)’)’ (二) MSI 译码器逻辑功能测试

译码器的作用是进行代码间的“翻译”,将具有特定含义的二进制码进行辨别,并转换成控制信号。 译码器可分为通用译码器和显示译码器两大类。前者又分为变量译码器和代码变换译码器。

l .变量译码器(又称二进制译码器),用以表示输入变量的状态,如2线—4线、3线—8线和4线—16线译码器。若有n 个输入变量,则有2n 个不同的组合状态,就有2n 个输出端供其使用。例如,有3个输入变量(或称为地址端),那么就可以有23=8个不同的地址组合,分别为000、001、010、011、100、101、110、111,可以控制8个输出端,而每一个输出所代表的函数对应于n 个输入变量的最小项。

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 1

1

1

1 1 1

& &

&

&

&

&

&

&

&

A 0

A 1

A 2

S 2 S 3

S 1 (a)

(b)

16 15 14 13 12 11 10 9 1 2 3 4 5 6 7 8

74LS138

V CC GND A 2 S 2 A 1 A 0 S 3 S 1 Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6

Y 7 Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2

74LS138

S 1 S 2 S 3 V CC

GND 4 5

6 1 2 3 16 8

15 14 13 12 11 10 9

7

以3线—8线译码器74LSl38为例,图3-1(a)(b) (c)分别为其逻辑图及引脚排列。

其中A2、A1、A0为地址输入端, ̄Y0~ ̄Y7为译码输出端,S1、ˉS2、ˉS3为使能端。表3-1为74LSl38功能表。

当S1=1,ˉS2+ˉS3=0时,74LS138工作,地址码所指定的输出端输出0(被选中),其它输出端均输出1(未被选中)。当S1=0;ˉS2+ˉS3=×(注:“×”即不论是什么逻辑值的意思。);或S1=×,ˉS2+ˉS3=1时,译码器被禁止,所有输出同时为l 。

表3-1 输 入 输 出 S 1

ˉ

S2+ˉS3 A 2

A 1

A 0

Y0

Y1

Y2

Y3

Y4

Y5

Y6

Y7

1 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 0 1 1 1 1 0 1 0 1 1 1 1 1 1 0 1 1 1 0 1 1 0 1 1 1 1 1 1 0 1 1 0 1 1 1 1 1 1 1 1 1 1 0 0 × × × × 1 1 1 1 1 1 1 1 ×

1

×

×

×

1

1

1

1

1

1

1

1

74LS138译码器也可作为负脉冲输出脉冲分配器使用,只需利用使能端中的一个输入端输入数据信息,器件就成为一个多路分配器,如图3-2所示。若令ˉS2=ˉS3=0,在S1端输入数据,地址码所对应的输出端输出S1数据的反码;若令S1=1、ˉS3=0,从ˉS2端输入数据,地址码所对应的输出端就是S2端数据信息的原码。若输入的是时钟脉冲,则数据分配器便成

为时钟脉冲分配器。数据分配

器可将一个信号源的数据信息传输到不同的地点。 二进制译码器还可以用来实现逻辑函数。由表3-1知,74LS138正常工作时,每个

输出端输出的逻辑关系为

1230

1220

1250

1240123012201210120A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y A A A Y ========

若在地址端赋值A2=C ,A1=B ,A0=A ,74LS138的输出逻辑式可以改写为

A

B C Y A

B C Y A

B C Y A

B C Y A B C Y A B C Y A B C Y A B C Y 76543210========

现在要用74LS138实现如下式所示的逻辑函数

CBA A B C A B C A B C Z +++=

先通过如下变换

图3-1 3—8线译码器74LS138逻辑图及引脚排列

图3-2 数据分配器

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2 74LS138

S 1 S 2 S 3 数据输入

地址输入

分配器输出

图3-3 实现逻辑函数图

Z

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2 74LS138

S 1 S 2 S 3 &

+5V

A B C

7

21072107210Y Y Y Y Y Y Y Y Y Y Y Y CBA A B C A B C A B C Z ???=+++=+++=+++=

由上式可接成如图3-3所示电路。利用使能端能还可以方便地将两个3/8译码器组成一个4/16译码器,如图3-4所

示。

三、使用仪器、材料

实验箱、74LS20、74LS00、74LS138 四、实验步骤

五、实验过程原始记录(数据、图表、计算等)

用74LS138构成时序脉冲分配器

实验要求为:由74LS138组成的分配器输出端07Y ~Y 的信号与CP 输入信号同相。

参照图3-2及其实验原理,令时钟脉冲CP 的频率约为10kHz 。令分配器的地址端A2、A1、A0为某一值(地址值自定),用示波器观察和记录与地址相对应的某一 YX 端的输出波形,注意输出波形与CP 输入波形之间的相位关系。

注:此处要使用示波器的双线功能,一路观察

CP 波形,一路观察输出波形。

图3-8 六、实验结果及分析

(一)组合逻辑电路的设计 实验结果验证:

当K1,K2,K3均上拨(1)时,监视灯亮。 当K1,K2,K3均下拨(0)时,监视灯亮。 当K1,K2,K3任两个上拨(1)时,监视灯亮。 其它情况监视灯不亮。 经实验结果验证:设计正确 (二)MSI 译码器逻辑功能测试

经实验验证,74LS138译码器也可作为数据分配器,可将信号源的数据信息传输到不同地点。

说明:各学院(实验中心)可根据实验课程的具体需要和要求自行设计和确定实验报告的内容要求和栏目,但表头格式按照“实验项目名称”栏以上部分统一。

图3-4 用两片74LS138组合4/16译码器

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2 74LS138(1)

S 1 S 2 S 3

+5V

Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7

A 0 A 1 A 2 74LS138(2)

S 1 S 2 S 3

D 3

D 0 D 1 D 2 Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 Y 8 Y 9 Y 10 Y 11 Y 12 Y 13 Y 14 Y 15 &

1 1

1 &

1 &

1 &

1 Y 0 A 1 A 0

Y 1 Y 2 Y 3

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 A i B i C i S i C i+1 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 10 1 1 1 00 1 1 1 1 1 1 2)由表2-1全加器真值表写出函数表达式。

数电实验报告

北京邮电大学 数字电路与逻辑设计实验简易电子琴演奏器 班级: 学号: 姓名:

一.设计课题的任务要求 题目五简易电子琴演奏器 原理概述: 根据声乐知识,产生音乐的两个因素是音乐频率的持续时间,音乐的十二平均率规定,每两个八音度之间的频率相差一倍,在两个八音度之间,又可分为12个半音。每两个半音的频率比为4。另外,音名A(乐谱中的低音6)的频率为440HZ,音名B到C之间,E到F之间为半音,其余为全音。由此可以计算出乐谱中从低音1到高音1之间每个音名的频率如下表所示。 基本要求: 1、用8×8点阵显示“1 2 3 4 5 6 7”七个音符构成的电子琴键盘。其中点阵 的第一列用一个LED点亮表示音符“1”,第二列用二个LED点亮表示音符“2”,依此类推,如下图所示。 图1 点阵显示的电子琴键盘 2、用BTN1~BTN7七个按键模拟电子琴手动演奏时的“1 2 3 4 5 6 7”七个 音符。当某个按键按下时,数码管显示相应的音符,点阵上与之对应的音符显示列全灭,同时蜂鸣器演奏相应的声音;当按键弹开时数码管显示的音符灭掉,点阵显示恢复,蜂鸣器停止声音的输出。下图所示为按下BTN3按键时点阵的显示情况。

图2 按键按下后的点阵显示 3、由拨码开关切换选择高、中、低音,并用数码管进行相应的显示。 4、通过按键BTN0进行复位,控制点阵显示图1的初始状态。 提高要求: 1、可通过一个拨码开关进行手动/自动演奏的切换,并与点阵显示配合增加 自动演奏乐曲的功能。 2、增加手动演奏的音符存储、播放功能。 二、系统设计(包括设计思路、总体框图、分块设计) 设计思路 电子琴的设计主要包括了显示与发声部分,发声部分主要分为三个不同的音阶,每个音阶包括了七个音,发生部分可以通过对50M晶振的分频,通过改变分频系数,得到响应频率的时钟脉冲,以这个脉冲控制蜂鸣器发出声音;显示部分则包括了数码管的音阶显示与点阵的琴键显示。数码管可以动态显示出音阶与当前音符,点阵则模拟显示琴键,动态显示实现了一个三角阵,并对应到音符琴键,这两个显示模块可以通过动态显示来实现显示显示不同的内容,配合按键可以实现基本功能。不同的音阶可以通过相应拨码开关的选择来调节,至于显示的复位,则不需要专门设置键位来完成,因为每个转台结束后就会自动转入等待状态。对于音调,乐曲的12平均率规定:每2个八度音之间的频率相差1倍。在2个八度音之间,又可分为12个半音,每2个半音的频率比为12√2。另外,音符A 的频率为440Hz,音符B到C之间、E到F之间为半音,其余为全音。由此可以计算出简谱中从低音1到高音1之间每个音符的频率。而对于节拍,如果将一拍的长度定为1秒,则1/4拍的时间为1/4秒,为其提供一个4Hz的时钟频率即可产生出1/4拍的效果了。若需要半拍,只需将该音符记录两次就可以了。 总体框图

数电实验报告 实验二 利用MSI设计组合逻辑电路

数电实验报告 实验二 利用MSI设计组合逻辑电路 姓名: 学号: 班级: 院系: 指导老师: 2016年 目录 实验目的:错误!未定义书签。

实验器件与仪器:错误!未定义书签。 实验原理:错误!未定义书签。 实验内容:错误!未定义书签。 实验过程:错误!未定义书签。 实验总结:错误!未定义书签。 实验: 实验目的: 熟悉编码器、译码器、数据选择器等组合逻辑功能模块的功能与使用方法。 掌握用MSI设计的组合逻辑电路的方法。 实验器件与仪器: 数字电路实验箱、数字万用表、示波器。 虚拟器件:74LS00,74LS197,74LS138,74LS151 实验原理: 中规模的器件,如译码器、数据选择器等,它们本身是为实现某种逻辑功能而设计的,但由于它们的一些特点,我们也可以用它们来实现任意逻辑函数。 用译码器实现组合逻辑电路 译码器是将每个输入的二进制代码译成对应的输出高、低电平信号。如3线-8线译码器。当附加控制门Gs的输入为高电平(S = 1)的时

候,可由逻辑图写出。 从上式可看出。-同时又是S2、S1、S0这三个变量的全部最小项的译码输出。所以这种译码器也叫最小项译码器。如果将S2、S1、S0当作逻辑函数的输入变量,则可利用附加的门电路将这些最小项适当的组合起来,便可产生任何形式的三变量组合逻辑函数。 用逻辑选择器实现组合逻辑电路 数据选择器的功能是从一组输入数据中选出某一个信号输出。或称为多路开关。如双四选一数据选择器74LS153

Y1和Y2为两个独立的输出端,和为附加控制端用于控制电路工作状态和扩展功能。A1、A0为地址输入端。D10、D11、D12、D13或D20、D21、D22、D23为数据输入端。通过选定不同的地址代码即可从4个数据输入端选出要的一个,并送到输出端Y。输出逻辑式可写成 其简化真值表如下表所示。 S1A1A0Y1 1X X0 000D10 001D11 010D12 011D13 从上述可知,如果将A1A0作为两个输入变量,同时令D10、D11、D12、D13为第三个输入变量的适当状态(包括原变量、反变量、0和1),就可以在数据选择器的输出端产生任何形式的三变量组合逻辑电路。 实验内容: 数据分配器与数据选择器功能正好相反。它是将一路信号送到地址选择信号指定的输出。如输入为D,地址信号为A、B、C,可将D按地址分配到八路输出F0、F1、F2、F3、F4、F5、F6、F7。其真值表如下

广州大学实习报告

广州大学实习报告 项目名称:电工电子实习H 学院:机械与电气工程学院 专业年级:机械144 学号:1407200138 姓名:张誉霖 指导老师:陈新兵张倩 实习单位:广州大学电工电子实习中心 实习时间:2016/5/30-2016/6/3 广州大学教务处制

-、实习目的 1、目的和意义 通过一周的电工电子实习,是自己对元器件有一定感性和理性的认识,打好以后学习专业知识的基础。培养了理论与实践相联系的能力,提高自己分析和解决问题的能力,增强了独立工作的能力。实习是培养学生操作技能的重要环节,而技能的形式是通过观察、实践和反复练习实现的。以实习实践激发同学们的兴趣。在实习过程中,熟悉手工焊锡的常用工具的使用及其维护,基本掌握手工电烙铁的焊接技 术,能够独立的完成简单电子产品的安装与焊接。熟悉电子产品的安装工艺的生产流程。熟悉印制电路板设计的步骤和方法,熟悉手工制作印制电路板的工艺流程,能够根据电路原理图,元器件实物设计并制作印制电路板。熟悉常用电子器件的类别、型号、规格、性能及其适用范围。能够正确识别和选用常用的电子器件,并且能够熟练地使用普通万用表和熟悉万用表。了解电子产品的焊接、调试与维修方 法。通过这样的学习,使学生产生好奇心,凝聚学生的注意力,以保持兴趣。通过对画图软件的学习。提高了学生电路分析能力,增强独立工作,独立思考的能力。 同时在讨论中,培养了学生的团结协作能力 2、发展情况及实习要求 发展情况:“广州大学电工电子实验中心”是“广州大学实验中心”以省级实验教学示范中心的建设要求为标准,根据广州大学“培养知识面宽、基础 厚实、创新意识和创新能力强的高素质应用型人才”的人才培养目标要 求,并基于实验中心的“电子信息实验室”来建设的。本中心的定位是 全校共享的电工电子类专业基础实验室,以及电类专 业学生实践和创新活动基地。电子信息实验室位于广州大学电子信息实 验楼,用房面积11300平方米,现有实验教学分室24间、教师开放室 2间以及学生全天候开放室7间。电子信息实验室承担全校 12 个学院27个专业约50门电工电子以及摄影类的实验教学任务, 实验室还常年全天候开放,承接了大量的毕业设计、电子设计竞赛、自 主学习等开放实验任务。2005年以来,学校正式启动了实验教学团队 的建设。使我校实验教学改革工作得以蓬勃开展。我们注重科研活动 的开展,老师和实验技术人员均积极参与科学研究和实验教学研究,并 取得不少的成绩。学生科研也得到重视。通过开放实验室为学生科研和 电子制作提供条件。学生参加全国大学生电子设计竞赛、实验大赛、挑 战杯等竞赛活动,获得各种各样的奖项,同时还培养了学生的创新、创 业精神和实践能力。 实习要求:在实习过程中,以及今后的专业知识学习过程中以工程人员应具备的基本素养为要求来锻炼自己。在每天的实习结束后,认真检查自己,看自 己达到多少要求,还有什么不足,了解以后应该如何加强。 二、实习内容 实习项目一:安全用电 了解安全用电知识,学会安全操作要领,培养严谨的科学作风和良好的安全作风,电子

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

组合逻辑电路的设计实验报告

广西大学实验报告纸 _______________________________________________________________________________ 实验内容___________________________________________指导老师 【实验名称】 组合逻辑电路的设计 【实验目的】 学习组合逻辑电路的设计与测试方法。 【设计任务】 用四-二输入与非门设计一个4人无弃权表决电路(多数赞成则提案通过)。要求:采用四-二输入与非门74LS00实现;使用的集成电路芯片种类尽可能的少。 【实验用仪器、仪表】 数字电路实验箱、万用表、74LS00。 【设计过程】 设输入为A、B、C、D,输出为L,根据要求列出真值表如下 真值表

根据真值表画卡若图如下 由卡若图得逻辑表达式 B D C

BD AC CD AB BD AC CD AB BD AC CD AB BD AC CD BD AC AB D BCD C ACD B ABD A ABC ACD BCD ABD ABC L ???=???=++=+++=?+?+?+?=+++=))(()()( 用四二输入与非门实现 A B C D L 实验逻辑电路图

Y 实验线路图

【实验步骤】 1.打开数字电路实验箱,按下总电源开关按钮。 2.观察实验箱,看本实验所用的芯片、电压接口、接地接口的位置。 3.检查芯片是否正常。芯片内的每个与非门都必须一个个地测试,以保证芯片 能正常工作。 4.检查所需导线是否正常。将单根导线一端接发光二极管,另一端接高电平。 若发光二极管亮,说明导线是正常的;若发光二极管不亮时,说明导线不导通。不导通的导线不应用于实验。 5.按实验线路图所示线路接线。 6.接好线后,按真值表的输入依次输入A、B、C、D四个信号,“1”代表输入高 电平,“0”代表输入低电平。输出端接发光二极管,若输出端发光二极管亮则说明输出高电平,对应记录输出结果为“1”;发光二极管不亮则说明输出低电平,对应记录输出结果为“0”。本实验有四个输入端则对应的组合情况有16种,将每种情况测得的实验结果记录在实验数据表格中。 测量结果见下表: 实验数据表格

数电实验报告

数字电子技术实验报告 学院:工程学院 专业:※※※ 姓名: ※※※ 学号: ※※※ 指导教师:※※ 时间: 2013.12.10

目录 实验一 2位二进制乘法器 (1) 一、实验要求: (1) 二、实验原理: (1) 三、实验分析 (1) 四、实验电路(如图1-7): (4) 五、仿真结果: (4) 六、注意事项: (6) 实验二可控加/减法 (7) 一、实验要求: (7) 二、实验原理: (7) 三、实验分析: (7) 四、实验电路: (11) 五、仿真结果: (13) 六、注意事项: (14) 实验三可控乘/除法 (15) 一、实验要求: (15) 二、实验原理: (15) 三、实验分析: (15) 四、实验电路: (19) 五、仿真结果: (20) 六、注意事项: (21) 实验四模拟信号可控乘除法 (22) 一、实验要求: (22) 二、实验原理: (22) 三、实验分析: (24)

四、实验电路 (26) 五、仿真结果: (27) 六、注意事项: (32) 实验五自动控制增益电路 (33) 一、实验要求: (33) 二、实验原理: (33) 三、实验分析: (34) 四、实验电路: (35) 五、仿真结果: (36) 六、注意事项: (39) 参考文献 (40) 总结 (41)

实验一2位二进制乘法器 一、实验要求: 用加法器实现两位二进制数的乘法。 二、实验原理: 设两位二进制数分别为A1A0和B1B0,就跟我们平常进行手工乘法一样,我们需要列乘式,则它们之间的乘法可用如下计算表示(如图1-1): 图1-1 二进制数间乘法图 所以我们如果实现了两个一位二进制的相乘,再利用加法器就可以得出结果,而两个位二进制的乘法即与运算。 三、实验分析 乘法的相乘利用与门实现,比如74LS08,然后将乘出的结果进行相加可以利用加法实现,比如四位加法器74LS283。 74LS08只是含有4个独立的与门,没有什么需要深入分析的。74LS283是快速进位集成4位加法器,所谓快速进位,是指加法运算过程中,各级进位信号同时送到各位全加器的进位输出端。超前进位加法器使每位的进位直接由加数和被加数产生,而无需等待低位的进位信号。这种加法器比串行进位加法器速度快了不少。74LS283是由4个全加器构成的,全加器的结构图如下图1-2: 1位二进制数和 1位二进制数相加 低位来的进位高位进位 图1-2 全加器的结构图

组合逻辑电路-实验报告

电子通信与软件工程系2013-2014学年第2学期 《数字电路与逻辑设计实验》实验报告 --------------------------------------------------------------------------------------------------------------------- 班级:姓名:学号:成绩: 同组成员:姓名:学号: --------------------------------------------------------------------------------------------------------------------- 一、实验名称:组合逻辑电路(半加器全加器及逻辑运算) 二、实验目的:1、掌握组合逻辑电路的功能调试 2、验证半加器和全加器的逻辑功能。 3、学会二进制数的运算规律。 三、实验内容: 1.组合逻辑电路功能测试。 (1).用2片74LS00组成图所示逻辑电路。为便于接线和检查.在图中要注明芯片编号及各引脚对应的编号。 (2).图中A、B、C接电平开关,YI,Y2接发光管电平显示. (3)。按表4。1要求,改变A、B、C的状态填表并写出Y1,Y2逻辑表达式. (4).将运算结果与实验比较.

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能.根据半加器的逻辑表达式可知.半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图. (1).在学习机上用异或门和与门接成以上电路.接电平开关S.Y、Z接电平显示.(2).按表4.2要求改变A、B状态,填表. 3.测试全加器的逻辑功能。 (1).写出图4.3电路的逻辑表达式。 (2).根据逻辑表达式列真值表. (3).根据真值表画逻辑函数S i 、Ci的卡诺图. (4).填写表4.3各点状态 (5).按原理图选择与非门并接线进行测试,将测试结果记入表4.4,并与上表进行比较看逻辑功能是否一致.

常用电子仪器的使用实验报告

广州大学学生实验报告 院(系)名称班 别姓名 专业名称学号 实验课程名称模拟电路实验 实验项目名称常用电子仪器的使用 实验时间实验地点 实验成绩指导老师签名 【实验目的】 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形,锯齿波信号波形,方波波形与读取波形参数的方法。【实验仪器与材料】 DS1062E数字示波器一台 AS101E函数信号发生器一台 DA-16D交流毫伏表一台 【实验原理】 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们与万用电表一起,可以完成对模拟电子电路的静态与动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读 数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源与交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。 【实验步骤】 1、用机内校正信号对示波器进行自检。 (1) 扫描基线调节 将示波器的显示方式开关置于“单踪”显示(Y1或Y2),输入耦合方式开关置“GND”,触发方式开关置于“自动”。开启电源开关后,调节“辉度”、“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显 示一条细而且亮度适中的扫描基线。然后调节“X轴位移”()与“Y轴位移”( )旋钮,使扫 描线位于屏幕中央,并且能上下左右移动自如。 (2)测试“校正信号”波形的幅度、频率 将示波器的“校正信号”通过专用电缆线引入选定的Y通道(Y1或Y2),将Y轴输入耦合方式开关置于“AC”或“DC”,触发源选择开关置“内”,内触发源选择开关置“Y1”或“Y2”。调节X轴“扫描速率”开关(t/div)与Y轴“输入灵敏度”开关(V/div),使示波器显示屏上显示出一个或数个周期稳定的方波波形。

组合逻辑电路实验报告

实验名称:组合逻辑电路 一、实验目的 1、掌握组合逻辑电路的分析、设计方法与测试方法; 2、了解组合逻辑电路的冒险现象及消除方法。 二、实验器材 需要与非门CC4011×3,异或门CC4030×1,或门CC4071×1。 CC4011引脚图CC4030引脚图 CC4071引脚图 三、实验内容及实验电路 1、分析、测试用与非门CC4011组成的半加器的逻辑功能。列出真值表并画出卡诺图判断是否可以简化。 图1由与非门组成的半加器电路

A B S C 2、分析、测试用异或门CC4030与与非门CC4011组成的半加器逻辑电路。 图2由异或门和与非门组成的半加器电路 A B S C 3、分析、测试全加器的逻辑电路。写出实验电路的逻辑表达式,根据实验结果列出真值表与全加器的逻辑功能对比,并画出i S和i C的卡诺图。 图3由与非门组成的全加器电路 A B1 i C i S i C

4、设计、测试用异或门、与非门和或门组成的全加器逻辑电路。 全加和:()1 -⊕⊕=i i i i C B A S 进位:()i i i i i i B A C B A C ?+?⊕=-1将全加器的逻辑表达式,变换成由两个异或门,四个与非门,一个或门组成;画出全加器电路图,按所画的原理图选择器件并在实验板上连线;进行功能测试并自拟表格填写测试结果。电路图:A B 1-i C i S i C 5、观察冒险现象。按图4接线,当1==C B 时,A 输入矩形波(MHz f 1=以上),用示波器观察输出波形,并用添加冗余项的方法消除冒险现象。 图4观察冒险现象实验电路

四、实验预习要求 1、复习组合逻辑电路的分析方法。 2、复习组合逻辑电路的设计方法。 3、复习用与非门和异或门等构成半加器和全加器的工作原理。 4、复习组合电路冒险现象的种类、产生原因和如何防止。 5、根据试验任务要求,设计好实验时必要的实验线路。 五、实验报告 1、整理实验数据、图表,并对实验结果进行分析讨论。 2、总结组合逻辑电路的分析与测试方法。 3、对冒险现象进行讨论。

数电实验报告

班级:姓名: 学号: 实验报告(一)TTL集成逻辑门的逻辑功能与参数测试1.测试TTL集成与非门74LS20的逻辑功能,测试结果记录如下表: 输入输出 An Bn Cn Dn Yn 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 1 1 1 1 0 2. 74LS20主要参数的测试 I CCL (mA) I CCH (mA) I il (mA) I OL (mA) N O= iL OL I I 3. 电压传输特性测试 V i(V) 0 0.4 0.7 0.9 1.0 1.1 1.2 1.3 1.4 2.0 3.0 4.0 … V O(V) 4.画出实测的电压传输特性曲线,并从中读出各有关参数值。

实验报告(二)CMOS 电路 1.用所给的集成电路(CD4007)实现F=ABC ,将实验结果填入真值表中,并测出高、低电平(真值表自拟,测试步骤自拟)。 2. 用所给的集成电路实现F=C B A ++(真值表自拟,测试步骤自拟)。 3. 用所给的集成电路,构成图2-2反相器。 (a )测最大灌电流I OL (V OL =0.1V ,接通图2-2中的虚线框①)。 (b )测最大拉电流I OH (V OH =4.9V,断开虚线框①,接通虚线框②。 4. 构成如图2-3所示的反相器,测最大灌电流I OL 。

实验报告(三)组合逻辑电路实验分析与设计(1) 写出由与非门组成的半加器电路的逻辑表达式 (2) 根据表达式列出真值表,并画出卡诺图判断能否简化 A B Z1 Z2 Z3 S C 0 0 0 1 1 0 1 1 实验: 1.测试由与非门组成的半加器电路的逻辑功能 A B S C 0 0 0 1 1 0 1 1 2.测试用异或门74LS86和与非门74LS00组成的半加器的逻辑功能 A B S C 0 0 0 1 1 0 1 1

组合逻辑电路实验报告

组合逻辑电路实验报告

图6-1:O型静态险象 如图6-1所示电路 其输出函数Z=A+A,在电路达到稳定时,即静态时,输出F 总是1。然而在输入A变化时(动态时)从图6-1(b)可见,在输出Z的某些瞬间会出现O,即当A经历1→0的变化时,Z出现窄脉冲,即电路存在静态O型险象。 进一步研究得知,对于任何复杂的按“与或”或“或与”函数式构成的组合电路中,只要能成为A+A或AA的形式,必然存在险象。为了消除此险象,可以增加校正项,前者的校正项为被赋值各变量的“乘积项”,后者的校正项为被赋值各变量的“和项”。 还可以用卡诺图的方法来判断组合电路是否存在静态险象,以及找出校正项来消除静态险象。 实验设备与器件 1.+5V直流电源 2.双踪示波器 3.连续脉冲源 4.逻辑电平开关 5.0-1指示器

(3)根据真值表画出逻辑函数Si、Ci的卡诺图 (4)按图6-5要求,选择与非门并接线,进行测试,将测试结果填入下表,并与上面真值表进行比较逻辑功能是否一致。 4.分析、测试用异或门、或非门和非门组成的全加器逻辑电路。 根据全加器的逻辑表达式

全加和Di =(Ai⊕Bi)⊕Di-1 进位Gi =(Ai⊕Bi)·Di-1+Ai·Bi 可知一位全加器可以用两个异或门和两个与门一个或门组成。(1)画出用上述门电路实现的全加器逻辑电路。 (2)按所画的原理图,选择器件,并在实验箱上接线。(3)进行逻辑功能测试,将结果填入自拟表格中,判断测试是否正确。 5.观察冒险现象 按图6-6接线,当B=1,C=1时,A输入矩形波(f=1MHZ 以上),用示波器观察Z输出波形。并用添加校正项方法消除险象。

数电实验报告

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门 2片 74LS20 四输入端双与非门 1片 74LS86 二输入端四异或门 1片 74LS04 六反相器 1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。

(2)写出上面两个电路的逻辑表达式。 表1.3 Y=A ⊕B 表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量 用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tp d=0.2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用: 一端接高有效的脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就是与非门对脉冲的控制作用。 6.用与非门组成其他门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A + B = A ? B 画出电路图,测试并填表1.5 中。 表1.5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A(BB)']}' ② 画出逻辑电路图。 ③ 测试并填表1.6。 表1.6 输入 输出 A B Y 0 1 0 1 0 1 0 0 1 1 A B Y 0 0 0 0 1 1 1 0 1 1 1

组合逻辑电路的设计实验报告

竭诚为您提供优质文档/双击可除组合逻辑电路的设计实验报告 篇一:数电实验报告实验二组合逻辑电路的设计 实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a)TDs-4数电实验箱、双踪示波器、数字万用表。 b)参考元件:74Ls86、74Ls00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2)组合逻辑电路的功能特点和结构特点. 3)中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。

2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中Ai、bi、ci分别为一个加数、另一个加数、低位向本位的进位;si、ci+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。 3)将上面两逻辑表达式转换为能用四2输入异或门(74Ls86)和四2输入与非门(74Ls00)实现的表达式。

数电实验报告(一)

数字电路实验设计报告

实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用 实验目的: 1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。 2.学会用语言设计电路原理图,并会对设计图进行功能和时序 仿真。 3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。实验仪器: 1.计算机1台 2.数字电路实验板1块 实验内容: 1.利用软件,用原理图输入的方法实现三变量多数表决器电 路,进行功能和时序仿真,记录仿真波形。 2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加 器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上 测试其电路功能。 设计过程及仿真结果: 1.三变量多数表决器原理图

功能仿真波形 时序仿真波形 2.一位全加器的VHDL语言描述 entity add1 is port( A,B,C: in bit; D,S: out bit ); end add1; architecture one of add1 is begin S<=A XOR B XOR C; D<=((A XOR B) AND C) OR (A AND B); end one;

一位全加器功能真值表 验证其功能 功能仿真波形 时序仿真波形

实验结果分析: (1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。 (2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

数字电路组合逻辑电路设计实验报告

实验三组合逻辑电路设计(含门电路功能测试)

一、实验目的 1.掌握常用门电路的逻辑功能 2.掌握小规模集成电路设计组合逻辑电路的方法 3.掌握组合逻辑电路的功能测试方法 二、实验设备与器材 Multisim 、74LS00 四输入2与非门、示波器、导线 三、实验原理 TTL集成逻辑电路种类繁多,使用时应对选用的器件做简单逻辑功能检查,保证实验的顺利进行。 测试门电路逻辑功能有静态测试和动态测试两种方法。静态测试时,门电路输入端加固定的高(H)、低电平,用示波器、万用表、或发光二极管(LED)测出门电路的输出响应。动

态测试时,门电路的输入端加脉冲信号,用示波器观测输入波形与输出波形的同步关系。 下面以74LS00为例,简述集成逻辑门功能测试的方法。74LS00为四输入2与非门,电路图如3-1所示。74LS00是将四个二输入与非门封装在一个集成电路芯片中,共有14条外引线。使用时必须保证在第14脚上加+5V电压,第7脚与底线接好。 整个测试过程包括静态、动态和主要参数测试三部分。 表3-1 74LS00与非门真值表 1.门电路的静态逻辑功能测试 静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否。实验时,可将74LS00中的一个与非门的输入端A、B分别作为输入逻辑变量,加高、低电平,观测输出电平是否符合74LS00的真值表(表3-1)描述功能。 测试电路如图3-2所示。试验中A、B输入高、低电平,由数字电路实验箱中逻辑电平产生电路产生,输入F可直接插至逻辑电平只是电路的某一路进行显示。

仿真示意 2.门电路的动态逻辑功能测试 动态测试用于数字系统运行中逻辑功能的检查,测试时,电路输入串行数字信号,用示波器比较输入与输出信号波形,以此来确定电路的功能。实验时,与非门输入端A加一频率为

数电实验实验报告

[键入文档标题] 实验一组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001

A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。 三.元件参考 依次为74LS283、 74LS00、74LS51、 74LS136 其中74LS51:Y= (AB+CD)’, 74LS136: Y=A⊕B(OC门)四.实验内容 1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟) 半加器 被加数A i0 1 0 1 0 1 0 1 加数B i0 0 1 1 0 0 1 1 前级进位C i-10 0 0 0 1 1 1 1 和S i0 1 1 0 1 0 0 1

数电实验报告

学生实验实习报告册 学年学期:2019 -2020 学年?春?秋学期 课程名称:数字电路与逻辑设计实验A 实验项目:基于FPGA的数字电子钟的设计与实现 姓名:康勇 学号:2018211580 学院和专业:计算机科学学院计算机科学与技术专业 班级:04911801 指导教师:罗一静 重庆邮电大学教务处制

1.系统顶层模块设计 本项目分为四个模块,分别为分频模块、计时模块、数码管动态显示模块、按键消抖模块。功能包括:基本时钟功能,整点报时功能,手动校时功能,秒表功能,小数点分割时分秒功能等。 设计思路如下: 图表 1数字时钟系统顶层模块设计思路 设计结果: 图表2数字时钟系统顶层模块设计电路图 2.分频模块电路设计及仿真 (1)模块功能

将输入的频率为50MHz的时钟信号利用74390通过2、5、100分频,对输入信号进行逐级分频。 (2)设计思路 图表3分频模块电路设计思路 (3)设计结果(电路) 图表4分频模块电路设计图 图表5模100电路图 (4)测试结果 图表6模100仿真图 图表7模5仿真图

图表8模2仿真图 3.计时模块设计及仿真 本模块主要功能是实现电子时钟计数功能。 图表9计时模块顶层设计电路图 3.1分、秒计时模块(模60计数) (1)模块功能(计数、进位) 电子时钟的分钟位和秒钟位均采用模60计数; 计数功能:从0到59; 进位功能:当计数记到59的时候,输出一个进位信号。 (2)设计思路 模60计数器可以通过一个模6计数器和一个模10计数器组成,由分钟位和秒位的特性可知,可以用模10计数器为个位,模6计数器为十位。当个位到9后,向十位进一。本模块使用器件74160。 计数功能:74160是十进制同步计数器(异步清零),为实现计数功能,首先将74160的LDN 反,CLRN反,ENT,ENP接高位,再接入时钟脉冲信号CLK,即可完成下图左侧(个位)模

实验3-组合逻辑电路数据选择器实验..

南通大学计算机科学与技术学院计算机数字逻辑设计 实验报告书 实验名组合逻辑电路数据选择器实验 班级_____计嵌151_______________ 姓名_____张耀_____________________ 指导教师顾晖 日期 2016-11-03

目录 实验一组合逻辑电路数据选择器实验 (1) 1.实验目的 (1) 2.实验用器件和仪表 (1) 3.实验内容 (1) 4.电路原理图 (1) 5.实验过程及数据记录 (2) 6.实验数据分析与小结 (9) 7.实验心得体会 (9)

实验三组合逻辑电路数据选择器实验 1 实验目的 1. 熟悉集成数据选择器的逻辑功能及测试方法。 2. 学会用集成数据选择器进行逻辑设计。 2 实验用器件和仪表 1、8 选 1 数据选择器 74HC251 1 片 3 实验内容 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用 3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的电路。 4 电路原理图 1、基本组合逻辑电路的搭建与测量 2、数据选择器的使用

3、利用两个 74HC251 芯片(或 74HC151 芯片)和其他辅助元件,设计搭建 16 路选 1 的 电路。 5 实验过程及数据记录 1、基本组合逻辑电路的搭建与测量 用 2 片 74LS00 组成图 3.1 所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。

图 3.1 组合逻辑电路 (2)先按图 3.1 写出 Y1、Y2 的逻辑表达式并化简。 Y1==A·B ·A =A + A·B=A + B Y2=B·C ·B·A = A · B+ B ·C (3)图中 A、B、C 接逻辑开关,Y1,Y2 接发光管或逻辑终端电平显示。(4)改变 A、B、C 输入的状态,观测并填表写出 Y1,Y2 的输出状态。 表 3.1 组合电路记录

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

相关主题
相关文档 最新文档