当前位置:文档之家› 单片机C语言IO口的扩展

单片机C语言IO口的扩展

单片机C语言IO口的扩展
单片机C语言IO口的扩展

IO口扩展

#include

#define uchar unsigned char sbit in=P2^1;

sbit out=P2^0;

uchar temp;

void main()

{

P2=0x00;

while(1)

{

in=1;

temp=P0;

in=0;

out=1;

P0=temp;

out=0;

}

}

数码管扫描锁存器

#include

#include

#define uchar unsigned char

#define uint unsigned int

void delayms(uint);

sbit dx=P2^0;

sbit wx=P2^1;

uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; //共阳数码管显示码表

void main()

{

uint i;

uchar temp=0x01;

P2=0x00;

while(1)

{

for(i=0;i<8;i++)

{

dx=1;

P0=table[i];

dx=0;

wx=1;

P0=temp;

wx=0;

delayms(1);

wx=1;

P0=0x00;

wx=0;

temp=_crol_(temp,1);

}

}

}

void delayms(uint xms)

{

uint j,k;

for (j=xms;j>0;j--)

for(k=110;k>0;k--); }

单片机输入输出IO扩展

? ???? ? 1?? ? ?? ? ?? ??? ?П ?? 51 ? ? ? ? ???? ?? ??? ? ?? ?? ? ?? ? ? ? ?? ?? ? ? ?? ? ?51 ? ?? ?RAM ?? ???? ?? ?? ????? ㄝ??? ? ???? ?? ??? ?RAM ?? ? ??? ??? ?? ? ?? ?? ? ? ? ?? ?RAM ???? ?I/O ? ?? ?? ?? ?? ?LED??? ㄝ? ?? ??? ? ? ? I/O ?? г ゴ????????? ? ?? I/O ?? ???? ? ?? ?? ?М??? ? ?? ??? ? ? ? ?? ? г?????? ?? ?? ??? Ё???? ? ?? ? ?????佪 ?? ? ????? ? ? ?RAM ?? ? ? ?П??? ??????? ? ? ? ? ?? ? ???? ? ? ? ?? ????? ?? ? ? ? ? ??? ?? ???? ?? ? ?? ? ? ? ???? ? ? ? ???? ???? ? ?? ?????? ???? ????? ???? ????? ? ?? ? ?偠 ?? ??? ????乬? ??? ??乬 ?? ?? ?? ? ?? ? ?? ??? ?? ??? ? ?? ????? ??? ? ッ ?? ? ???? ? ? ? ?? ? ????? ???? ???? ? 2? ???? ? ??? ? ? 2.1 ???? ??? ? ?? ? ? ? ? ? ?? ??? ? ??? ?

??? ? ? ??? ?? ぎ?? ?? ?偅 ? ㄝㄝ??乬?? ??? ??? ? ? ??? ? ? ??? ? ? ?? ? ? ?? ? ? ?г ??? ? ?? ? ?г ? ? ?? ? ?? ? ?? ? ????? ???????? ? ?? ??? ? ??? ? ? Ё ? 乚 ? ? ? ?? ?? ????乚??? ? ??乚 ? ?有 ? 佪 ? ??51 ? ? ?RAM? ? 1?2 ?? 1?51 ? ? ?RAM? ? 1 51 ? ? ?RAM? ? ?? ? ?? ALE?RD?PORT0?PORT2 ? ? ??? PSEN ? ??? ? ? ?? ??? ?????? ? ?? ????お?? ??? ? ??????? ? ? ???? ????偠??? ???ALE ? ?? ? ??М ??М?? ???? ? ??? ???????乬 ? ? ?51 ? ?P0 / ??8?ッ ? ? ?RAM ?P0 ??乎 ? ???? ? ? ? ? ? ? ? ?8? ????М ???? ? ? ?? ??

51单片机IO口使用经验绝对经典

绝对经典 按常规,在51端口(P1、P2、P3)某位用作输入时,必须先向对应的锁存器写入1,使FET截止。一般情况是这样,也有例外。所谓IO口内部与电源相连的上拉电阻而非一常规线性电阻,实质上,该电阻是由两个场效应管并联在一起:一个FET为负载管,其阻值固定;另一个FET 可工作在导通或截止两种状态(姑且叫可变FET)。使其总电阻值变化近似为0或阻值较大(20千欧--40千欧)两种情况。当和端口锁存器相连的FET由导通至截止时,该阻值近似为0,可将引脚快速上拉至高电平;当和锁存器相连的FET由截止至导通时,该电阻呈现较大阻值,限制了和端口锁存器相连的FET的导通电流。 51I/O口作为输入端和外部信号相连有时必须考虑上述特性,本人在设计LTP1245热敏打印头驱动板时,资料上推介热敏头“抬头”和“纸尽”信号由头中内嵌检测电路提供,MCU IO口采集该信号时需加缓冲(如74HC04)。当时本人认为51IO口上拉电阻为一较大阻值的固定电阻,对输入信号无影响,故未加缓冲电路(为降低成本能省则省)。可到调试PCBA时发现,“抬头”、“纸尽”状态变化时,采集信号只在3.90V--5.10V之间变化,应为低电平时无低电平输出。究其原因,打印头的“抬头”、“缺纸”信号输出为一光敏三极管的集电极输出,集电极和电源间原有一个负载电阻,饱和导通设计工作电流仅为450--1100微安,当该集电极直接和MCU IO口某位相连时,IO口上拉电阻和光敏三极管负载电阻并联,当IO口上拉时,上拉电阻极小致使光敏三极管直流负载线斜率陡然增大,工作状态进入放大区而非希望的饱和区。当时在不改硬件的条件下,我几乎无计可施,甚至想到了准备烧断IO口上拉电阻(前两天我曾发帖求救怎么烧断IO 口上拉电阻的方法)后来听网友建议该方法风险较大,所以总想用软件方法解决。 后来我的解决方法是:采样信号前不是先向对应锁存器写1,而是先写入0,再写入1,延时约10毫秒以上,然后再采样(当然此法只适应于采样频率很低的情况)。这样作的目的是:先写入0迫使IO口上拉电阻先为一较大值,此时如果外部光敏三极管本来处于截止状态,当完成上述一系列锁存器的写入过程后光敏管仍为截止态,IO口正确采样到高电平;此时如果外部

单片机io口理解

【转】单片机IO口设置推挽和开漏的区别(转自网易博客冷水泡茶的日志)2010-09-28 13:43 单片机IO口设置推挽和开漏的区别 一般情况下我们在电路设计编程过程中设置单片机,大多是按照固有的模式去做的,做了几年这一行了,也没碰到过什么问题。昨天就遇到了这样一个问题,电路结构如图一,在这种情况下STC单片机与410单片机通讯是没问题的 但是与PC就无法通讯了,STC收不到PC的命令,以前410的位置是用的STC的片子一直没问题,我想也许是驱动能力不够,在410TX端加了上拉,不过没起作用。 用示波器监视串口得到面的波形 这说明sp3232下拉得不够,于是加了下拉,还是没起作用。又把410端口内部的上拉去掉,结果还是一样。 最后请教老师,在410程序里将TX的工作方式由推挽式改为开漏式,一切ok~!

从网上查了推挽和开漏的区别,放在这里免得以后再到处找了,给自己保存了 我们先来说说集电极开路输出的结构。集电极开路输出的结构如图1所示,右边的那个三极管集电极什么都不接,所以叫做集电极开路(左边的三极管为反相之用,使输入为“0”时,输出也为“0”)。对于图1,当左端的输入为“0”时,前面的三极管截止(即集电极C跟发射极E之间相当于断开),所以5V电源通过1K电阻加到右边的三极管上,右边的三极管导通(即相当于一个开关闭合);当左端的输入为“1”时,前面的三极管导通,而后面的三极管截止(相当于开关断开)。 我们将图1简化成图2的样子。图2中的开关受软件控制,“1”时断开,“0”时闭合。很明显可以看出,当开关闭合时,输出直接接地,所以输出电平为0。而当开关断开时,则输出端悬空了,即高阻态。这时电平状态未知,如果后面一个电阻负载(即使很轻的负载)到地,那么输出端的电平就被这个负载拉到低电平了,所以这个电路是不能输出高电平的。 再看图三。图三中那个1K的电阻即是上拉电阻。如果开关闭合,则有电流从1K电阻及开关上流过,但由于开关闭其它三个口带内部上拉),当我们要使用输入功能时,只要将输出口设置为1即可,这样就相当于那个开关断开,而对于P0口来说,就是高阻态了。 对于漏极开路(OD)输出,跟集电极开路输出是十分类似的。将上面的三极管换成场效应管即可。这样集电极就变成了漏极,OC就变成了OD,原理分析是一样的。 另一种输出结构是推挽输出。推挽输出的结构就是把上面的上拉电阻也换成一个开关,当要输出高电平时,上面的开关通,下面的开关断;而要输出低电平时,则刚好相反。比起OC或者OD来说,这样的推挽结构高、低电平驱动能力都很强。如果两个输出不同电平的输出口接在一起的话,就会产生很大的电流,有可能将输出口烧坏。而上面说的OC或OD输出则不会有这样的情况,因为上拉电

51单片机IO口使用DE 经验

DANPAINJI 51单片机I/O口使用经验 字体大小: 小中大作者:来源:日期:2006-08-18 点击:364 按常规,在51端口(P1、P2、P3)某位用作输入时,必须先向对应的锁存器写入1,使FET 截止。一般情况是这样,也有例外。所谓IO口内部与电源相连的上拉电阻而非一常规线性电阻,实质上,该电阻是由两个场效应管并联在一起:一个FET为负载管,其阻值固定;另一个FET可工作在导通或截止两种状态(姑且叫可变FET)。使其总电阻值变化近似为0或阻值较大(20千欧--40千欧)两种情况。当和端口锁存器相连的FET由导通至截止时,该阻值近似为0,可将引脚快速上拉至高电平;当和锁存器相连的FET由截止至导通时,该电阻呈现较大阻值,限制了和端口锁存器相连的FET的导通电流。 51I/O口作为输入端和外部信号相连有时必须考虑上述特性,本人在设计LTP1245热敏打印头驱动板时,资料上推介热敏头“抬头”和“纸尽”信号由头中内嵌检测电路提供,MCU IO口采集该信号时需加缓冲(如74HC04)。当时本人认为51IO口上拉电阻为一较大阻值的固定电阻,对输入信号无影响,故未加缓冲电路(为降低成本能省则省)。可到调试PCBA时发现,“抬头”、“纸尽”状态变化时,采集信号只在3.90V--5.10V之间变化,应为低电平时无低电平输出。究其原因,打印头的“抬头”、“缺纸”信号输出为一光敏三极管的集电极输出,集电极和电源间原有一个负载电阻,饱和导通设计工作电流仅为450--1100微安,当该集电极直接和MCU IO口某位相连时,IO口上拉电阻和光敏三极管负载电阻并联,当IO口上拉时,上拉电阻极小致使光敏三极管直流负载线斜率陡然增大,工作状态进入放大区而非希望的饱和区。当时在不改硬件的条件下,我几乎无计可施,甚至想到了准备烧断IO口上拉电阻(前两天我曾发帖求救怎么烧断IO口上拉电阻的方法)后来听网友建议该方法风险较大,所以总想用软件方法解决。 后来我的解决方法是:采样信号前不是先向对应锁存器写1,而是先写入0,再写入1,延时约10毫秒以上,然后再采样(当然此法只适应于采样频率很低的情况)。这样作的目的是:先写入0迫使IO口上拉电阻先为一较大值,此时如果外部光敏三极管本来处于截止状态,当完成上述一系列锁存器的写入过程后光敏管仍为截止态,IO口正确采样到高电平;此时如果外部光敏三极管基极电流足够大有容许三极管饱和导通的条件(即基极吸收到充分光强),虽然采样一开始集电极被人为钳位在低电平,但当下一时隙和IO口相连的锁存器被写入1时,在IO口上拉电阻中的可变FET导通之前,光敏三极管已先进入饱和态而又把引脚钳位在实际输出的低电平,此时MCU IO口的上拉电阻仍为较大阻值,同时和原光敏三极管集电极负载电阻并联(考虑并联后阻值变化,原光敏三极管集电极负载电阻需增大到适当阻值)充当饱和导通后光敏三极管的负载电阻,事实上,IO口上拉电阻中的可变FET未来得及导通又被截止了,由此又保证了信号低电平的正确采样。经过波形测试问题得

单片机IO口结构与工作原理

一、P0端口的结构及工作原理 P0端口8位中的一位结构图见下图: 由上图可见,P0端口由锁存器、输入缓冲器、切换开关、一个与非门、一个与门及场效应管驱动电路构成。 下面,先分析组成P0口的各个部分: 先看输入缓冲器:在P0口中,有两个三态的缓冲器,在其的输出端可以是高电平、低电平,同时还有一种就是高阻状态(或称为禁止状态),上面一个是读锁存器的缓冲器,下面一个是读引脚的缓冲器,读取P0.X引脚上的数据,要使这个三态缓冲器有效,引脚上的数据才会传输到部数据总线上。 D锁存器:在51单片机的32根I/O口线中都是用一个D触发器来构成锁存器的。D端是数据输入端,CP是控制端(也就是时序控制信号输入端),Q是输出端,Q非是反向输出端。 多路开关:在51单片机中,不需要外扩展存储器时,P0口可以作为通用的输入输出端口(即I/O)使用,对于8031(部没有ROM)的单片机或者编写的程序超过了单片机部的存储器容量,需要外扩存储器时,P0口就作为‘地址/数据’总线使用。这个多路选择开关就是用于选择是做为普通I/O口使用还是作为‘数据/地址’总线使用的选择开关了。当多路开关与下面接通时,P0口是作为普通的I/O口使用的,当多路开关是与上面接通时,P0口是作为‘地址/数据’总线使用的。 输出驱动部份:P0口的输出是由两个MOS管组成的推拉式结构,也就是说,这两个MOS管一次只能导通一个,当V1导通时,V2就截止,当V2导通时,V1截止。

P0口作为I/O端口使用时,多路开关的控制信号为0(低电平),V1管截止,多路开关是与锁存器的Q非端相接的(即P0口作为I/O口线使用)。作为地址/数据线使用时,多路开关的控制信号为1,V1管由地址/数据线决定,多路开关与地址/数据线连接。 输出过程: 1、I/O输出工作过程:当写锁存器信号CP有效,数据总线的信号→锁存器的输入端D→锁存器的反向输出Q非端→多路开关→V2管的栅极→V2的漏极到输出端P0.X。这时多路开关的控制信号为低电平0,V1管是截止的,所以作为输出口时,P0是漏极开路输出,类似于OC门,当驱动上接电流负载时,需要外接上拉电阻。 下图就是由部数据总线向P0口输出数据的流程图(红色箭头)。 2、地址输出过程 控制信号为1,地址信号为“0”时,与门输出低电平,V1管截止;反相器输出高电平,V2管导通,输出引脚的地址信号为低电平。

实验二单片机IO口的使用

姓名:学号:日期: 实验二单片机I/O口的使用 一、实验名称:单片机I/O口的使用 二、实验目的 1.掌握在Keil环境下建立项目、添加、保存源文件文件、编译源程序的方法; 2.掌握运行、步进、步越、运行到光标处等几种调试程序的方法; 3.掌握在Proteus环境下建立文件原理图的方法; 4.实现Proteus与Keil联调软件仿真。 三、使用仪器设备编号、部件及备件 1.实验室电脑; 2.单片机实验箱。 四、实验过程及数据、现象记录 1.在Proteus环境下建立如下仿真原理图,并保存为文件; 原理图中常用库元件的名称: 无极性电容:CAP 极性电容:CAP-ELEC 单片机:AT89C51 晶体振荡器:CRYSTAL 电阻:RES 按键:BUTTON 发光二极管:红色LED-RED 绿色LED-GREEN 蓝色LED-BLUE 黄色LED-YELLOW 2.在Keil环境下建立源程序并保存为.ASM文件,生成.HEX文件; 参考程序如下: ORG 0000H LJMP MAIN ORG 0100H MAIN: MOV A,# H LOOP: MOV P2,A CALL DELAY SJMP LOOP DELAY: MOV R1,# H DL1: MOV R2,# H DL2: MOV R3,# H DJNZ R3,$

DJNZ R2,DL2 DJNZ R1,DL1 RET END 将以上程序补充完整,流水时间间隔为50ms。 3.将.HEX文件导入仿真图,运行并观察结果; 4.利用Keil软件将程序下载至实验箱,进行硬件仿真,观察实验结果。 五、实验数据分析、误差分析、现象分析 现象:进行软硬件仿真时,观察到8支LED发光二极管流水发光。 六、回答思考题 1.如何让改变流水方向? 2.若将R3至R10上拉电阻省略,8支LED还能正常发光吗? 3.如果将LED接到P0口与接到P2口硬件设计时应注意什么问题? Keil软件建立项目的方法: 1.新建项目 2.新建文件 3.添加文件 4.设置选项 5.重建所有目标文件 6.调试仿真

单片机io扩展74hc165和74hc595程序

74hc165接收函数支持联级 /**************************************************** 74hc165intn();//初始化 74hc165satin();//开始输入 接收单级数据:dat=inpute();//接收返回值到dat 使用数组保存多级:hc165pinsav(d)//d为接收个数,联级个数。******************************************************/ #ifndef __74hc165_H__ #define __74hc165_H__ #include "A T89X52.h" #include #include unsigned char hc165insav[4];//保存接收数据联极 sbit ck=P1^1;//时钟2引脚。 sbit sd=P1^0;//数据9引脚。 sbit sh=P1^2;//开始输入1引脚。 //SI联级10引脚,连接下级的9引脚。 /**************************************************** 五个机器周期 ******************************************************/ void nop() {_nop_();_nop_();_nop_();_nop_();_nop_();_nop_();_nop_();} /**************************************************** 74hc165初始化 ******************************************************/ void hc165intn() //初始化 {sh=1; sd=1; ck=1; } /**************************************************** 74hc165开始输人 ******************************************************/ void hc165satin() { sh=0; //起始接收脉冲开始接收。 sh=1; }

51单片机IO端口的四种输入输出模式

51单片机IO端口的四种输入输出模式(by wuleisly) 单片机I O口的使用对所有单片机玩家来说都是“家常便饭”,但是你真的了解I O 口吗?你真的能按你的需要配置I O口吗? 一、准双向口输出 准双向口输出类型可用作输出和输入功能而不需重新配置 口线输出状态。这是因为当口线 输出为1时驱动能力很弱,允许外部装置将其拉低。当引脚输出为低时,它的驱动能力很强, 可吸收相当大的电流。(准双向口有3个上拉晶体管适应不同的需要) 准双向口读外部状态前,要先锁存为…1?,才可读到外部正确的状态. 二、强推挽输出 推挽输出配置的下拉结构与开漏输出以及准双向口的下拉 结构相同,但当锁存器为1时提供持续的强上拉。推挽模式一般用于需要更大驱动电流的情况。 三、仅为输入(高阻) 输入口带有一个施密特触发输入以及一个干扰抑制电路。 四、开漏输出配置(若外加上拉电阻,也可读) 当口线锁存器为0时,开漏输出关闭所有上拉晶体管。当作为一个逻辑输出时,这种配置方式必须有外部上拉,一般通过电阻外接到V c c。如果外部有上拉电阻,开漏的I/O口还可读外部状态,即此时被配置为开漏模式的I/O口还可作为输入I/O口。这种方式的下拉与准双向口相同。 开漏端口带有一个施密特触发输入以及一个干扰抑制电路。 关于I/O口应用注意事项: 1.有些是I/O口由低变高读外部状态时,读不对,实际没有损坏,软件处理一下即可。 因为1T的8051单片机速度太快了,软件执行由低变高指令后立即读外部状态,此时由于实际输出还没有变高,就有可能读不

对,正确的方法是在软件设置由低变高后加1到2个空操作指令延时,再读就对了. 有些实际没有损坏,加上拉电阻就OK了 有些是外围接的是NP N三极管,没有加上拉电阻,其实基极串多 大电阻,I/O口就应该上拉多大的电阻,或者将该I/O口设置为强 推挽输出. 2.驱动L E D发光二极管没有加限流电阻,建议加1K以上的限流电阻,至少也要加470欧姆以上 做行列矩阵按键扫描电路时,实际工作时没有加限流电阻,实际工作时可能出现2个I/O口均输出为低,并且在按键按下时,短接在一起,我们知道一个C MOS电路的2个输出脚不应该直接短接在一起,按键扫描电路中,此时一个口为了读另外一个口的状态,必须先置高才能读另外一个口的状态,而8051单?片机的弱上 拉口在由0变为1时,会有2时 钟的强推挽高输出电流输出到另外一个输出为低的I/O口,就有 可能造成I/O口损坏.建议在其中的一侧加1K限流电阻,或者在 软件处理上,不要出现按键两端的I/O口同时为低. 一种典型三极管控制电路: 如果用弱上拉控制,建议加上拉电阻R1(3.3K~10K),如果不加上拉电阻R1(3. 3K~10K), 建议R2的值在15K以上,或用强推挽输出。 典型发光二极管控制电路:

51单片机IO口应用详解

51单片机IO口应用详解 MCS-51是标准的40引脚双列直插式集成电路芯片,引脚分布请参照单片机引脚图: 这4个I/O口具有不完全相同的功能,大家可得学好了,其它书本里虽然有,但写的太深,对于初学者来说很难理解的,我这里都是按我自已的表达方式来写的,相信你也能够理解的。 P0口有三个功能: 1、外部扩展存储器时,当做数据总线(如图1中的D0~D7为数据总线接口) 2、外部扩展存储器时,当作地址总线(如图1中的A0~A7为地址总线接口) 3、不扩展时,可做一般的I/O使用,但内部无上拉电阻,作为输入或输出时应在外部接上拉电阻。 P1口只做I/O口使用:其内部有上拉电阻。 P2口有两个功能: 1、扩展外部存储器时,当作地址总线使用 2、做一般I/O口使用,其内部有上拉电阻; P3口有两个功能: 除了作为I/O使用外(其内部有上拉电阻),还有一些特殊功能,由特殊寄存器来设置,具体功能请参考我们后面的引脚说明。 有内部EPROM的单片机芯片(例如8751),为写入程序需提供专门的编程脉冲和编程电源,这些信号也是由信号引脚的形式提供的, 即:编程脉冲:30脚(ALE/PROG) 编程电压(25V):31脚(EA/Vpp) 在介绍这四个I/O口时提到了一个“上拉电阻”那么上拉电阻又是一个什么东东呢?他起什么作用呢?都说了是电阻那当然就是一个电阻啦,当作为输入时,上拉电阻将其电位拉高,若输入为低电平则可提供电流源;所以如果P0口如果作为输入时,处在高阻抗状态,只有外接一个上拉电阻才能有效。 ALE 地址锁存控制信号:在系统扩展时,ALE用于控制把P0口的输出低8位地址送锁存器锁存起

用PCF8574扩展单片机IO口

用PCF8574扩展单片机IO口 用PCF8574做流水灯 #include #include sbit SCL = P1^5; sbit SDA = P1^4; bit ack; unsigned char LCD_data=0x08; unsigned char code digit[ ]={"0123456789"}; //定义字符数组显示数字//*****************延时************************ void delay_nus(unsigned int n) //N us延时函数 { unsigned int i=0; for (i=0;i

{ SDA=0; _nop_(); SCL=0; nop4();//>4us后SCL跳变 SCL=1; nop4(); SDA=1; _nop_(); _nop_(); } //****************************************** void Write_A_Byte(unsigned char c) { unsigned char BitCnt; for(BitCnt=0;BitCnt<8;BitCnt++) //要传送的数据长度为8位 { if((c<

单片机C语言IO口的扩展

IO口扩展 #include #define uchar unsigned char sbit in=P2^1; sbit out=P2^0; uchar temp; void main() { P2=0x00; while(1) { in=1; temp=P0; in=0; out=1; P0=temp; out=0; } }

数码管扫描锁存器 #include #include #define uchar unsigned char #define uint unsigned int void delayms(uint); sbit dx=P2^0; sbit wx=P2^1; uchar code table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; //共阳数码管显示码表 void main() { uint i; uchar temp=0x01; P2=0x00; while(1) { for(i=0;i<8;i++) { dx=1; P0=table[i]; dx=0; wx=1; P0=temp; wx=0; delayms(1); wx=1; P0=0x00; wx=0; temp=_crol_(temp,1); } } } void delayms(uint xms) { uint j,k; for (j=xms;j>0;j--)

for(k=110;k>0;k--); }

单片机实验 IO口输入输出实验

实验二I/O口输入、输出实验 一、实验目的 1. 学习I/O口的使用方法。 2. 学习延时子程序、查表程序的编写和使用。 二、参考程序框图 led灯 延时500ms //左移一位 跳转循环 DJNZ R6,DE2。 DJNZ R7,DE1。 RET END 2、I/O口输入输出(方法一) ORG 0000H。 START : MOV P2,#00H。//初始化 MOV P0,#00H。 MOV P1,#0FFH。//p1 MOV DPTR,#TABLE。// MOV 50H,#0FEH。// L0 :MOV A,P1。// CJNE A,#0FFH,L1。 AJMP L0。 L1 :MOV A,P1。 CJNE A,#0FFH,LL1。 AJMP L0。 LL1 :CJNE A,50H,LL2。//是否与地址50h中数据相等 MOV P0,A。//相等输出对应led灯 MOV A,#00H。 MOVC A,@A+DPTR。

MOV P2,A。//输出表格数据到数码管 LCALL DELAY。//延时 LJMP START。//返回程序开头 LL2 :XCH A,50H。//交换数据 RL A。//左移 XCH A,50H。//再次交换,此时地址50h中数据左移一位 INC DPTR。//表格数据地址加一 LJMP LL1。//返回继续比较 DELAY : MOV R7,#01H。//延时程序 DE1 : MOV R6,#28H。 DE2 : MOV R5,#5AH。 DJNZ R5,$。 DJNZ R6,DE2。 DJNZ R7,DE1。 RET TABLE : 。//DB 0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H。 DB 06H,5BH,4FH,66H,6DH,7DH,07H,7FH。//表格数据END 程序二(方法二) ORG 0000H MOV P2,#00H。//I./O口初始化 MOV P1,#0FFH。//P1口赋FFH初值 MOV P0,#00H。 START : MOV P2,#00H。//P2清0; MOV P0,#00H。//P0清0; MOV R1 ,P1。 MOV A,R1。//读P1口 CJNE A,#0FFH,L1。//是否有数据输入 AJMP START。//无输入则跳转,继续查询 LCALL DELAY。 L1 : MOV R1,P1。//消除按键抖动 MOV A,R1。 CJNE A, #0FFH,LL1。 AJMP START。 LL1 : CJNE A,#0FEH,LL2。//是否按键1输入 MOV P2,#06H。//是则P2输出相应的按键号码 CPL A。//A取反 MOV P0,A。//输出到P0口 LCALL DELAY。//延迟 AJMP LP。//跳转到LP LL2 : CJNE A,#0FDH,LL3。//是否按键2输入 MOV P2,#5BH。//以下同上 CPL A。

单片机IO口扩展

第九章 MCS-51单片机并行I/O接口的扩展 (一)学习要求 1、熟悉74LS377、74LS245外围芯片的特性和扩展方法。 2、掌握8255A芯片的结构和工作方式。 3、掌握8155A芯片的结构和工作方式。 4、掌握8155A的RAM和I/O端口寻址方法。 (二)内容提要 1、I/O接口的扩展 当所需扩展的外部I/O口数量不多时,可以使用常规的逻辑电路、锁存器进行扩展。这一类的外围芯片一般价格较低而且种类较多,常用的如:74LS377、74LS245、74LS373、74LS244、74LS273、74LS577、74LS573。 1)74LS377 74LS377是一种8D触发器,它的E端和CLK端是控制端,当它的E端为低电平时只要在CLK端产生一个正跳变,D0~D7将被锁存到Q0~Q7端输出,在其它情况下Q0~Q7端的输出保持不变。 可以利用74LS377这一特性扩展并行输出口。如图9-2使用了一片74LS377扩展输出口,如果将未使用到的地址线都置为1则可以得到该片74LS377的地址为7FFFH。如果单片机要从该片74LS377输出数据的可以执行如下指令: MOV DPTR,#7FFFFH MOVX @DPTR,A 2)74LS245。 74LS245是一种三态输出的八总线收发/驱动器,无锁存功能。它的端和DIR端是控制端,当它的G端为低电平时,如果DIR为高电平则74LS245将A端数据传送至B端,如果DIR为低电平则74LS245将B端数据传送至A端,在其它情况下不传送数据,并输出高阻态。 可以利用74LS245这一特性扩展并行输入口。如图9-4使用了一片74LS245扩展输入口,如果将未使用到的地址线都置为1则可以得到该片74LS245的地址为7FFFH。如果单片机要从该片74LS377输出数据的可以执行如下指令: MOV DPTR,#7FFFFH MOVX A,@DPTR 2、8255A可编程I/O接口设计及扩展技术 8255A是一种常见的8位可编程并行接口芯片,本接将着重介绍8255A的工作原理、编程方式和应用。 1)8255A芯片的结构 引脚功能如下: RESET: 复位信号输入端,高电平有效,有效时清除8255A内部寄存器, 同时三个端口自动设为输入端;

单片机IO口扩展技术

单片机IO口扩展技术 ] 0 引言 在单片机家族的众多成员中,MCS-51系列单片机以其优越的性能、成熟的技术、高可靠性和高性价比,占领了工业测控和自动化工程应用的主要市场,并成为国内单片机应用领域中的主流机型。 MCS-51单片机的并行口有P0、P1、P2和P3,由于P0口是地址/数据总线口,P2口是高8位地址线,P3口具有第二功能,这样,真正可以作为双向I/O口应用的就只有P1口了。这在大多数应用中是不够的,因此,大部分MCS-51单片机应用系统设计都不可避免的需要对P0口进行扩展。 由于MCS-51单片机的外部RAM和I/O口是统一编址的,因此,可以把单片机外部64K字节RAM空间的一部分作为扩展外围I/O口的地址空间。这样,单片机就可以像访问外部RAM存储器单元那样访问外部的P0口接口芯片,以对P0口进行读/写操作。用于P0口扩展的专用芯片很多。如8255可编程并行P0口扩展芯片、8155可编程并行P0口扩展芯片等。本文重点介绍采用具有三态缓冲的74HC244芯片和输出带锁存的74HC377芯片对P0口进行的并行扩展的具体方法。 1 输入接口的扩展 MCS-51单片机的数据总线是一种公用总线,不能被独占使用,这就要求接在上面的芯片必须具备“三态”功能,因此扩展输入接口实际上就是要找一个能够用于控制且具备三态输出的芯片。以便在输入设备被选通时,它能使输入设备的数据线和单片机的数据总线直接接通;而当输入设备没有被选通时,它又能隔离数据源和数据总线(即三态缓冲器为高阻抗状态)。 1.1 74HC2244芯片的功能 如果输入的数据可以保持比较长的时间(比如键盘),简单输入接口扩展通常使用的典型芯片为74HC244,由该芯片可构成三态数据缓冲器。74HC244芯片的引脚排列如图1所示。 74HC244芯片内部共有两个四位三态缓冲器,使用时可分别以1C和2G作为它们的选通工作信号。当1 C和2G都为低电平时,输出端Y和输入端A状态相同;当1G和2G都为高电平时,输出呈高阻态。

单片机IO口扩展设计

单片机I/O口扩展实验 摘要:本设计随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中流水灯的应用就是一个典型的例子,特别在商业广告领域有重要的应用,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 关键词:单片机,控制,8X8 LED点阵,流水灯,AT89C52,动态显示 1.引言 随着人们生活水平的不断提高,单片机控制无疑是人们追求的目标之一,它所给人带来的方便也是不可否定的,其中数字温度计就是一个典型的例子,但人们对它的要求越来越高,要为现代人工作、科研、生活、提供更好的更方便的设施就需要从数单片机技术入手,一切向着数字化控制,智能化控制方向发展。 本设计所介绍的是单片机的一些简单的应用,最小系统的复位,INT0和INT1的一些应用来控制输出,达到控制彩灯(流水灯)。近年来随着科技的飞速发展,单片机的应用正在不断地走向深入,同时带动传统控制检测日新月益更新。在实时检测和自动控制的单片机应用系统中,单片机往往是作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构,以及针对具体应用对象特点的软件结合,加以完善。本系统采用单片机AT89C52为中心器件,用8*8位LED显示元件XY1588ASR(24脚共阳管)来设计控制彩灯(流水灯),系统实用性强、操作简单、扩展性强。也可以为我们更好地了解单片机的应用加深我们对单片机的认识,对我们以后的学习都带来很大的帮助。 2.总体设计方案 2.1设计内容与设计思路及关键技术 单片机采用用AT89C52芯片,控制彩灯(流水灯)。8X8LED点阵流水灯设计将在8X8LED 点阵显示上移流水灯显示,然后循环显示。 P1口涉及下载线,而P3口的P3.2和P3.3作用于外部中断,所以就用P0和P2口来控制滚动显示文字,通过P0口接8*8位LED阳极,P2口接8*8位LED负极来不断扫描显示。

2实验二 单片机IO口的使用

姓名:?学号:?日期: 实验二单片机I/O口的使用 一、实验名称:单片机I/O口的使用 二、实验目的 ?1.掌握在Keil环境下建立项目、添加、保存源文件文件、编译源程序的方法; 2.掌握运行、步进、步越、运行到光标处等几种调试程序的方法; ?3.掌握在Proteus环境下建立文件原理图的方法; 4.实现Proteus与Keil联调软件仿真。 三、使用仪器设备编号、部件及备件 ?1.实验室电脑; 2.单片机实验箱。 四、实验过程及数据、现象记录 ?1.在Proteus环境下建立如下仿真原理图,并保存为文件; ?原理图中常用库元件的名称: ?无极性电容:CAP?极性电容:CAP-ELEC?单片机:AT89C51? 晶体振荡器:CRYSTAL?电阻:RES ??按键:BUTTON 发光二极管:红色LED-RED 绿色LED-GREEN蓝色LED-BLUE黄色LED-YELLOW 2.在Keil环境下建立源程序并保存为.ASM文件,生成.HEX文件; ?参考程序如下: ??ORG 0000H ?LJMP MAIN ??ORG 0100H MAIN: MOV A,# H LOOP: MOV P2,A ? CALL DELAY ??SJMP LOOP DELAY:?MOV R1,# H DL1:?MOV R2,# H DL2:?MOV R3,# H

??DJNZ R3,$ DJNZR2,DL2 ?DJNZR1,DL1 RET ??END ?将以上程序补充完整,流水时间间隔为50ms。 3.将.HEX文件导入仿真图,运行并观察结果; 4.利用Keil软件将程序下载至实验箱,进行硬件仿真,观察实验结果。 五、实验数据分析、误差分析、现象分析 现象:进行软硬件仿真时,观察到8支LED发光二极管流水发光。六、回答思考题 ?1.如何让改变流水方向? ?2.若将R3至R10上拉电阻省略,8支LED还能正常发光吗? ?3.如果将LED接到P0口与接到P2口硬件设计时应注意什么问题? Keil软件建立项目的方法: 1.新建项目 2.新建文件 3.添加文件 4.设置选项 5.重建所有目标文件 6.调试仿真

单片机并行IO口的应用实验

一、实验目的 1熟悉Proteus软件和Keil软件的使用方法。 2熟悉单片机应用电路的设计方法。 3、掌握单片机并行I/O 口的直接应用方法。 4、掌握单片机应用程序的设计和调试方法。 二、设计要求 1用Proteus软件画出电路原理图。要求在P1.0至P1.7 口线上分别接LEDO至LED7八个发光二极管,在P3.0 口线上接一蜂鸣器。 2、编写程序:要求LED0至LED7以秒速率循环右移。 3、编写程序:要求LED0至LED7以秒速率循环左移。 4、编写程序:要求在灯移动的同时,蜂鸣器逐位报警。 三、实验程序流程框图和程序清单 延时程序流程框图 Y 返回

实验程序流程框图

汇编程序 ORG 0000H MOV A, #11111110B MOV P3, #0FFH MAIN : MOV RO, #07H L00P1: LCALL DELAY MOV P3, A RL A CLR P2.0 LCALL DELAY SETB P2.0 DJNZ R0, LOOP1 MOV R0, #07H L00P2: LCALL DELAY MOV P3, A RR A CLR P2.0 LCALL DELAY SETB P2.0 DJNZ R0, LOOP2 LJMP MAIN DELAY: MOV R7, #17H DL1: MOV R6, #98H DL0: MOV R5, #46H DJNZ R5, $ DJNZ R6, DL0 DJNZ R7, DL1 RET END C语言程序: #in clude #i ncludevi ntri ns.h> un sig ned char temp; int i; void delay(void); sbit speaker=P2A0; void mai n() { temp=0xfe; P3=temp;

用单片机IO口直接驱动段式LCD的方法

用单片机IO口直接驱动段式LCD的方法 用IO口驱动段式LED(数码管)的方法相信大家比较清楚,但用IO口直接驱动段式LCD的方法相对复杂一些。在网上搜了一下单片机IO口驱动段式LCD的方法,大部分资料讲得不够清晰、具体,而且简单问题复杂化。后来查了LCD的显示原理,结合网上的相关介绍,发现IO口直接驱动段式LCD原理比较简单,用几句话就可以描述清楚: 1.LCD和LED的显示原理不一样:LED是加正向电压发光,而LCD必须交替加正、反向电压才会持续显示(可以做个实验,如果把恒定电压加到LCD的一段上,该段会显示一下,但马上不能显示,而且长时间加恒定电压,会加速LCD的老化和损坏) 2.常听说1/2bias,1/3bias LCD,是什么意思呢对于1/2bias LCD,假如LCD的显示电压是3V,则1/2bias是,也就是说在±3V电压作用时,LCD有显示;±及以下的电压作用时没有显示 3.普通单片机IO口不能直接输出半高电平(),但可以用相等的上下拉电阻实现,当IO口设置为输入(高阻)时,由于上下拉电阻的分压作用,则产生一个半高电平() 知道了以上3点后,动态驱动LCD就不是难事了,对于4*8段的LCD(4个COM,8个SEG,显示电压为3V,1/2bias),驱动方法如下: 1、四个COM采用交替扫描的方式,每个COM在相邻两次扫描时又进行电压交变的方式。 2、若扫描到某一个COM时,该COM输出3V(0V): 与该COM相连的SEG输出与COM相反,ΔV=±3V,则该相连点亮; 与该COM相连的SEG输出与COM相同,ΔV=0,则该相连点不亮。 3、其他没有扫描到的COM,单片机IO口为输入,从而产生1/2 bias(),不管SEG为何值,ΔV<±,故该点不亮。 本人用4*8段的LCD自制了一个数字钟表,验证了以上方法的可行性,现把制作过程罗列如下

最新 单片机IO口控制实验报告

单片机I/O口的控制 一、实验目的 1、熟悉MCS-51的I/O结构; 2、掌握MCS-51 I/O的使用方法; 3、掌握MCS-51的中断机制。 二、实验原理 1、MCS-51单片机的硬件结构片内结构: 2、内部数据存储器(字节地址为00H~0FH):

3、SFR的名称及其分布: 4、I/O端口地址: 5、P0~P3端口功能总结: (1)P0~P3口都是并行I/O口,但P0口和P2口,还可用来构建系统的数据总线和地址总线,所以在电路中有一个MUX,以进行转换。而P1口和P3口无构建系统的数据总线和地址总线的功能,因此,无MUX。P0口的MUX的一个输入端为“地址/数据”信号。P2口的MUX的一个输入信号为“地址”信号。 (2)在4个口中只有P0口是一个真正的双向口,P1~P3口都是准双向口。 原因:P0口作数据总线使用时,需解决芯片内外的隔离问题,即只有在数据传送时芯片内外才接通;不进行数据传送时,芯片内外应处于隔离状态。为此,

P0口的输出缓冲器应为三态门。P0口中输出三态门是由两只场效应管(FET)组成,所以是一个真正的双向口。 P1~P3口,上拉电阻代替P0口中的场效应管,输出缓冲器不是三态的-准双向口。(3)P3口的口线具有第二功能,为系统提供一些控制信号。 因此P3口增加了第二功能控制逻辑。这是P3口与其它各口的不同之处。 6、P0口结构及特点: ⑴P0口结构与运作 1个输出锁存器,用于进行输出数据的锁存; 2个三态输入缓冲器,分别用于锁存器和引脚数据的输入缓冲;1个多路开关MUX,它的一个输入来自锁存器,另一个输入是地址/数据信号的反相输出。在控制信号的的控制下能实现对锁存器输出端和地址/数据线之间的切换;由两只场效应管组成的输出驱动电路。 ⑵P0口的特点 P0口是一个双功能的端口:地址/数据分时复用口和通用I/O口; 具有高电平、低电平和高阻抗3种状态的I/O端口称为双向I/O端口。P0口作地址/数据总线复用口时,相当于一个真正的双向I/O口。而用作通用I/O口时,由于引脚上需要外接上拉电阻,端口不存在高阻(悬空)状态,此时P0口只是一个准双向口; 为保证引脚上的信号能正确读入,在读入操作前应首先向锁存器写1; 单片机复位后,锁存器自动被置1; 一般情况下,如果P0口已作为地址/数据复用口时,就不能再用作通用I/O口使用;P0口能驱动8个TTL负载。 7、P1口的结构及特点: ⑴P1口结构与运作 一个数据输出锁存器,用于输出数据的锁存; 两个三态输入缓冲器,BUF1用于读锁存器,BUF2用于读引脚; 数据输出驱动电路,由场效应管VT和片内上拉电阻R组成。

相关主题
文本预览
相关文档 最新文档