当前位置:文档之家› 各大公司硬件工程师面试题(含我自己整理的部分答案)

各大公司硬件工程师面试题(含我自己整理的部分答案)

各大公司硬件工程师面试题(含我自己整理的部分答案)
各大公司硬件工程师面试题(含我自己整理的部分答案)

硬件工程师面试试题(模拟电路部分)

发布时间:2008年3月23日 10时12分

模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点电流的代数和恒等于零。点的支路电

电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。

2、平板电容公式(C=εS/4πkd)。(未知)已答

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。

反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。

反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用。

电压负反馈的特点:电路的输出电压趋向于维持恒定。

电流负反馈的特点:电路的输出电流趋向于维持恒定。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知)已答

6、放大电路的频率补偿的目的是什么,有哪些方法?

消除自激振荡,有两种方法,第一种方法是在反馈网络的基本电路中插入一个电容或者rc 电路,使开环增益的相位滞后。第二种方法为在反馈网络中并联一个校正电容来实现超前校正。(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。

在放大器中常常含有电抗元件,而电抗元件在不同频率下的电抗值是不同的,当输入不同频率信号时,电路的放大倍数将成为频率的函数,这个特性就是频率特性或者频率响应。分为幅频特性和相频特性。改变频响曲线就是改变其幅度和相位响应,可以通过外加RC,LC 网络来改变其幅频特性和相频特性。

(未知)

8、给出一个差分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。

电流放大器的输入信号是电流,输出信号也是电流,是一种电流控制电流源。

差分放大器的好处稳定增益!消除温差变化对元件的影响!,抑制零点漂移。

他可以是平衡输入输出,也可以是单端输入输出,用来实现平衡与不平衡的相互转换。(未知)

10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。

vid=v1-v2,vic=(v1+v2)/2。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的rise/fall时间。(Infineon笔试试题)

15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上电压和R 上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号S=V0sin(2pif0t)+V1cos(2pif1t)+V2sin(2pif3t+90),当其通过低通、带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?

1、电阻的阻值大小和精度,熔点等

2、电阻能承受的最大电流和最大的电压,电阻的功率

从生成的PCB考虑:

1、电阻的封装,即是否满足实际安装的需要。

(东信笔试题)

19、在CMOS电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用P 管还是N管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(Infineon笔试试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期....) (华为面试题)

25、LC正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、VCO是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用D触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要RF知识,调频,鉴频鉴相之类,不一一列举。(未知)

31、一电源和一段传输线相连(长度为L,传输时间为T),画出终端处波形,考虑传输线无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、DAC和ADC的实现各有哪些方法?(仕兰微电子)

34、A/D电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就不一样了,不好说什么了。(未知)

硬件工程师面试试题(数字电路部分)

发布时间:2008年3月23日 10时11分

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。

3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试)线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现,由于不用 oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是Setup 和Holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time 不够,数据同样不能被打入触发器。建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现 metastability 的情况。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险怎样消除。(仕兰微电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?TTL与COMS电平可以直接互连吗?(汉王笔试)常用逻辑电平:12V,5V,3.3V;TTL和CMOS不可以直接互连,由于TTL是在0.3-3.6V之间,而CMOS则是有在12V的有在5V的。CMOS输出接到TTL是可以直接互连。TTL接到CMOS需要在输出端口加一上拉电阻接到5V或者12V。

11、如何解决亚稳态。(飞利浦-大唐笔试)亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、IC设计中同步复位与异步复位的区别。(南山之桥)

13、MOORE 与 MEELEY状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试) Delay < period - setup – hold

16、时钟周期为T,触发器D1的建立时间最大为T1max,最小为T1min。组合逻辑电路最大延迟为T2max,最小为T2min。问,触发器D2的建立时间T3和保持时间应满足什么条件。(华为)

17、给出某个一般时序电路的图,有Tsetup,Tdelay,Tck->q,还有 clock的delay,写出决定最大时钟的因素,同时给出表达式。(威盛VIA 2003.11.06 上海笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛VIA 2003.11.06 上海笔试试题)

19、一个四级的Mux,其中第二级信号为关键信号如何改善timing。(威盛VIA 2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是什么,还问给出输入,使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触发器有几种(区别,优点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛VIA 2003.11.06 上海笔试试题)

23、化简F(A,B,C,D)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the CMOS inverter schmatic,layout and its cross sectionwith P- well process.Plot its transfer curve (Vout-Vin) And also explain the operation region of PMOS and NMOS for each segment of the transfer curve? (威盛笔试题circuit design-beijing-03.11.09)

25、To design a CMOS invertor with balance rise and fall time,please define the ration of channel width of PMOS and NMOS and explain?

26、为什么一个标准的倒相器中P管的宽长比要比N管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input AND gate and explain which input has faster response for output rising edge.(less delay time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出NOT,NAND,NOR的符号,真值表,还有transistor level的电路。(Infineon笔试)

30、画出CMOS的图,画出tow-to-one mux gate。(威盛VIA 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出Y=A*B+C的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出CMOS电路的晶体管级电路图,实现Y=A*B+C(D+E)。(仕兰微电子)

35、利用4选1实现F(x,y,z)=xz+yz’。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个NOT,NAND,NOR组成的原理图,根据输入波形画出各点波形。(Infineon笔试)

38、为了实现逻辑(A XOR B)OR (C AND D),请选用以下逻辑中的一种,并

说明为什么?1)INV 2)AND 3)OR 4)NAND 5)NOR 6)XOR 答案:NAND(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当A为输入时,输出B波形为…(仕兰微电子)

42、A,B,C,D,E进行投票,多数服从少数,输出是F(也就是如果A,B,C,D,E中1的个数比0 多,那么F输出为1,否则F为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示D触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出D触发器。(威盛VIA 2003.11.06 上海笔试试题)

46、画出DFF的结构图,用verilog实现之。(威盛)

47、画出一种CMOS的D锁存器的电路图和版图。(未知)

48、D触发器和D锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、LATCH和DFF的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述中latch 如何产生的。(南山之桥)

52、用D触发器做个二分颦的电路.又问什么是状态图。(华为)

53、请画出用D触发器实现2倍分频的逻辑电路?(汉王笔试)

54、怎样用D触发器、与或非门组成二分频电路?(东信笔试)

55、How many flip-flop circuits are needed to divide by 16? (Intel) 16分频?

56、用filp-flop和logic-gate设计一个1位加法器,输入carryin和current-stage,输出 carryout和next-stage. (未知)

57、用D触发器做个4进制的计数。(华为)

58、实现N位Johnson Counter,N=5。(南山之桥)

59、用你熟悉的设计方式设计一个可预置初值的7进制循环计数器,15进制的呢?(仕兰微电子)

60、数字电路设计当然必问Verilog/VHDL,如设计计数器。(未知)

61、BLOCKING NONBLOCKING 赋值的区别。(南山之桥)

62、写异步D触发器的verilog module。(扬智电子笔试)

module dff8(clk , reset, d, q);

input clk;

input reset;

input [7:0] d;

output [7:0] q;

reg [7:0] q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

63、用D触发器实现2倍分频的Verilog描述?(汉王笔试)

module divide2( clk , clk_o, reset);

input clk , reset;

output clk_o;

wire in;

reg out ;

always @ ( posedge clk or posedge reset)

if ( reset)

out <= 0;

else

out <= in;

assign in = ~out;

assign clk_o = out;

endmodule

64、可编程逻辑器件在现代电子设计中越来越重要,请问:a) 你所知道的可编程逻辑器件有哪些? b) 试用VHDL或VERILOG、ABLE描述8位D触发器逻辑。(汉王笔试)

PAL,PLD,CPLD,FPGA。

module dff8(clk , reset, d, q);

input clk;

input reset;

input d;

output q;

reg q;

always @ (posedge clk or posedge reset)

if(reset)

q <= 0;

else

q <= d;

endmodule

65、请用HDL描述四位的全加法器、5分频电路。(仕兰微电子)

66、用VERILOG或VHDL写一段代码,实现10进制计数器。(未知)

67、用VERILOG或VHDL写一段代码,实现消除一个glitch。(未知)

68、一个状态机的题目用verilog实现(不过这个状态机画的实在比较差,很容易误解的)。(威盛VIA 2003.11.06 上海笔试试题)

69、描述一个交通信号灯的设计。(仕兰微电子)

70、画状态机,接受1,2,5分钱的卖报机,每份报纸5分钱。(扬智电子笔试)

71、设计一个自动售货机系统,卖soda水的,只能投进三种硬币,要正确的找回钱数。(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga设计的要求。(未知)

72、设计一个自动饮料售卖机,饮料10分钱,硬币有5分和10分两种,并考虑找零:(1)画出fsm(有限状态机);(2)用verilog编程,语法要符合fpga 设计的要求;(3)设计工程中可使用的工具及设计大致过程。(未知)

73、画出可以检测10010串的状态图,并verilog实现之。(威盛)

74、用FSM实现101101的序列检测模块。(南山之桥)

a为输入端,b为输出端,如果a连续输入为1101则b输出为1,否则为0。

例如a: 0001100110110100100110

b: 0000000000100100000000

请画出state machine;请用RTL描述其state machine。(未知)

75、用verilog/vddl检测stream中的特定字符串(分状态用状态机写)。(飞利浦-大唐笔试)

76、用verilog/vhdl写一个fifo控制器(包括空,满,半满信号)。(飞利浦-大唐笔试)

77、现有一用户需要一种集成电路产品,要求该产品能够实现如下功能:y=lnx,其中,x 为4位二进制整数输入信号。y为二进制小数输出,要求保留两位小数。电源电压为3~5v假设公司接到该项目后,交由你来负责该产品的设计,试讨论该产品的设计全程。(仕兰微电子)

78、sram,falsh memory,及dram的区别?(新太硬件面试)

79、给出单管DRAM的原理图(西电版《数字电子技术基础》作者杨颂华、冯毛官205页图9 -14b),问你有什么办法提高refresh time,总共有5个问题,记不起来了。(降低温度,增大电容存储容量)(Infineon笔试)

80、Please draw schematic of a common SRAM cell with 6 transistors,point out which nodes can store data and which node is word line control? (威盛笔试题 circuit design-beijing-03.11.09)

81、名词:sram,ssram,sdram

名词IRQ,BIOS,USB,VHDL,SDR

IRQ: Interrupt ReQuest

BIOS: Basic Input Output System

USB: Universal Serial Bus

VHDL: VHIC Hardware Description Language

SDR: Single Data Rate

压控振荡器的英文缩写(VCO)。

动态随机存储器的英文缩写(DRAM)。

名词解释,无聊的外文缩写罢了,比如PCI、ECC、DDR、interrupt、pipeline、IRQ,BIOS,USB,VHDL,VLSI VCO(压控振荡器) RAM (动态随机存储器),FIR IIR DFT(离散傅立叶变换)或者是中文的,比如:a.量化误差 b.直方图 c.白平衡

硬件工程师面试试题(MCU部分)

发布时间:2008年3月23日 10时10分

单片机、MCU、计算机原理

1、简单描述一个单片机系统的主要组成模块,并说明各模块之间的数据流流向和控制流流向。简述单片机应用系统的设计原则。(仕兰微面试题目)

2、画出8031与2716(2K*8ROM)的连线图,要求采用三-八译码器,8031的

P2.5,P2.4和 P2.3参加译码,基本地址范围为3000H-3FFFH。该2716有没有重叠地址?根据是什么?若有,则写出每片2716的重叠地址范围。(仕兰微面试

题目)

3、用8051设计一个带一个8*16键盘加驱动八个数码管(共阳)的原理图。(仕兰微面试题目)

4、PCI总线的含义是什么?PCI总线的主要特点是什么?(仕兰微面试题目)

5、中断的概念?简述中断的过程。(仕兰微面试题目)

6、如单片机中断几个/类型,编中断程序注意什么问题;(未知)

7、要用一个开环脉冲调速系统来控制直流电动机的转速,程序由8051完成。简单原理如下:由P3.4输出脉冲的占空比来控制转速,占空比越大,转速越快;而占空比由K7-K0八个开关来设置,直接与P1口相连(开关拨到下方时为"0",拨到上方时为"1",组成一个八位二进制数N),要求占空比为N/256。(仕兰微面试题目)

下面程序用计数法来实现这一功能,请将空余部分添完整。

MOV P1,#0FFH

LOOP1 :MOV R4,#0FFH

--------

MOV R3,#00H

LOOP2 :MOV A,P1

--------

SUBB A,R3

JNZ SKP1

--------

SKP1:MOV C,70H

MOV P3.4,C

ACALL DELAY :此延时子程序略

--------

--------

AJMP LOOP1

8、单片机上电后没有运转,首先要检查什么?(东信笔试题)

9、What is PC Chipset? (扬智电子笔试)

芯片组(Chipset)是主板的核心组成部分,按照在主板上的排列位置的不同,通常分为北桥芯片和南桥芯片。北桥芯片提供对CPU的类型和主频、内存的类型和最大容量、 ISA/PCI/AGP插槽、ECC纠错等支持。南桥芯片则提供对KBC(键盘控制器)、RTC(实时时钟控制器)、USB(通用串行总线)、Ultra DMA/33(66)EIDE 数据传输方式和ACPI(高级能源管理)等的支持。其中北桥芯片起着主导性的作用,也称为主桥(Host Bridge)。

除了最通用的南北桥结构外,目前芯片组正向更高级的加速集线架构发展,Intel的 8xx系列芯片组就是这类芯片组的代表,它将一些子系统如IDE接口、音效、MODEM和USB直接接入主芯片,能够提供比PCI总线宽一倍的带宽,达到了266MB/s。

10、如果简历上还说做过cpu之类,就会问到诸如cpu如何工作,流水线之类的问题。(未知)

11、计算机的基本组成部分及其各自的作用。(东信笔试题)

12、请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、所存器/缓冲器)。(汉王笔试)

13、cache的主要部分什么的。(威盛VIA 2003.11.06 上海笔试试题)

14、同步异步传输的差异(未知)

15、串行通信与同步通信异同,特点,比较。(华为面试题)

16、RS232c高电平脉冲对应的TTL逻辑是?(负逻辑?) (华为面试题)

硬件工程师面试试题(嵌入式部分)

发布时间:2008年3月23日 10时9分

DSP、嵌入式、软件等

1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,

也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题

目)

2、数字滤波器的分类和结构特点。(仕兰微面试题目)

3、IIR,FIR滤波器的异同。(新太硬件面题)

4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h

(n)的z变换;b.问该系统是否为稳定系统;c.写出FIR数字滤波器的差分方程;(未知)

5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威

dsp软件面试题)

6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题)

7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题)

8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威

dsp软件面试题)

9、DSP的结构(哈佛结构);(未知)

10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系

统方面偏CS方向了,在CS篇里面讲了;(未知)

11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项

目?

12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系

统(300M CPU,50M SDRAM)中是否还需要优化?(Intel)

13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目)

14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目)

15、A)(仕兰微面试题目)

#i nclude

void testf(int*p)

{

*p+=1;

}

main()

{

int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(n);

printf("Data value is %d ",*n);

}

------------------------------

B)

#i nclude

void testf(int**p)

{

*p+=1;

}

main()

{int *n,m[2];

n=m;

m[0]=1;

m[1]=8;

testf(&n);

printf(Data value is %d",*n);

}

下面的结果是程序A还是程序B的?

Data value is 8

那么另一段程序的结果是什么?

16、那种排序方法最快? (华为面试题)

17、写出两个排序算法,问哪个好?(威盛)

18、编一个简单的求n!的程序。(Infineon笔试试题)

19、用一种编程语言写n!的算法。(威盛VIA 2003.11.06 上海笔试试题)

20、用C语言写一个递归算法求N!;(华为面试题)

21、给一个C的函数,关于字符串和数组,找出错误;(华为面试题)

22、防火墙是怎么实现的?(华为面试题)

23、你对哪方面编程熟悉?(华为面试题)

24、冒泡排序的原理。(新太硬件面题)

25、操作系统的功能。(新太硬件面题)

26、学过的计算机语言及开发的系统。(新太硬件面题)

27、一个农夫发现围成正方形的围栏比长方形的节省4个木桩但是面积一样.羊的数目和正方形围栏的桩子的个数一样但是小于36,问有多少羊?(威盛)28、C语言实现统计某个cell在某.v文件调用的次数(这个题目真bt) (威盛VIA

2003.11.06 上海笔试试题)

29、用C语言写一段控制手机中马达振子的驱动程序。(威胜)

30、用perl或TCL/Tk实现一段字符串识别和比较的程序。(未知)

31、给出一个堆栈的结构,求中断后显示结果,主要是考堆栈压入返回地址存放在低端地址还是高端。(未知)

32、一些DOS命令,如显示文件,拷贝,删除。(未知)

33、设计一个类,使得该类任何形式的派生类无论怎么定义和实现,都无法产生任何对象实例。(IBM)

34、What is pre-emption? (Intel)

35、What is the state of a process if a resource is not available? (Intel)

36、三个 float a,b,c;问值(a+b)+c==(b+a)+c, (a+b)+c==(a+c)+b。(Intel)

37、把一个链表反向填空。 (lucent)

38、x^4+a*x^3+x^2+c*x+d 最少需要做几次乘法? (Dephi)

硬件工程师面试试题(信号与系统部分)

发布时间:2008年3月23日 10时8分

1、的话音频率一般为300~3400HZ,若对其采样且使信号不失真,其最小的采样频率应为

多大?若采用8KHZ的采样频率,并采用8bit的PCM编码,则存储一秒钟的信号数据量有多

大?(仕兰微面试题目)

2、什么耐奎斯特定律,怎么由模拟信号转为数字信号。(华为面试题)

3、如果模拟信号的带宽为 5khz,要用8K的采样率,怎么办? (lucent) 两路?

4、信号与系统:在时域与频域关系。(华为面试题)

5、给出时域信号,求其直流分量。(未知)

6、给出一时域信号,要求(1)写出频率分量,(2)写出其傅立叶变换级数;(3)当波

形经过低通滤波器滤掉高次谐波而只保留一次谐波时,画出滤波后的输出波形。(未知)

7、sketch 连续正弦信号和连续矩形波(都有图)的傅立叶变换。(Infineon笔试试题)

8、拉氏变换和傅立叶变换的表达式及联系。(新太硬件面题)

硬件工程师面试题集(含答案-很全)

硬件工程师面试题集 (DSP,嵌入式系统,电子线路,通讯,微电子,半导体) 1、下面是一些基本的数字电路知识问题,请简要回答之。 (1) 什么是Setup和Hold 时间? 答:Setup/Hold Time 用于测试芯片对输入信号和时钟信号之间的时间要求。建立时间(Setup Time)是指触发器的时钟信号上升沿到来以前,数据能够保持稳定不变的时间。输入数据信号应提前时钟上升沿(如上升沿有效)T 时间到达芯片,这个T就是建立时间通常所说的SetupTime。如不满足Setup Time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿到来时,数据才能被打入触发器。保持时间(Hold Time)是指触发器的时钟信号上升沿到来以后,数据保持稳定不变的时间。如果Hold Time 不够,数据同样不能被打入触发器。 (2) 什么是竞争与冒险现象?怎样判断?如何消除? 答:在组合逻辑电路中,由于门电路的输入信号经过的通路不尽相同,所产生的延时也就会不同,从而导致到达该门的时间不一致,我们把这种现象叫做竞争。由于竞争而在电路输出端可能产生尖峰脉冲或毛刺的现象叫冒险。如果布尔式中有相反的信号则可能产生竞争和冒险现象。解决方法:一是添加布尔式的消去项,二是在芯片外部加电容。 (3) 请画出用D 触发器实现2 倍分频的逻辑电路 答:把D 触发器的输出端加非门接到D 端即可,如下图所示: (4) 什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求? 答:线与逻辑是两个或多个输出信号相连可以实现与的功能。在硬件上,要用OC 门来实现(漏极或者集电极开路),为了防止因灌电流过大而烧坏OC 门,应在OC 门输出端接一上拉电阻(线或则是下拉电阻)。 (5) 什么是同步逻辑和异步逻辑?同步电路与异步电路有何区别? 答:同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系.电路设计可分类为同步电路设计和异步电路设计。同步电路利用时钟脉冲使其子系统同步运作,而异步电路不使用时钟脉冲做同步,其子系统是使用特殊的“开始”和“完成”信号使之同步。异步电路具有下列优点:无时钟歪斜问题、低电源消耗、平均效能而非最差效能、模块性、可组合和可复用性。 (7) 你知道那些常用逻辑电平?TTL 与COMS 电平可以直接互连吗? 答:常用的电平标准,低速的有RS232、RS485、RS422、TTL、CMOS、LVTTL、LVCMOS、ECL、ECL、LVPECL 等,高速的有LVDS、GTL、PGTL、CML、HSTL、SSTL 等。 一般说来,CMOS 电平比TTL 电平有着更高的噪声容限。如果不考虑速度和性能,一般TTL 与CMOS 器件可以互换。但是需要注意有时候负载效应可能引起电路工作不正常,因为有些TTL 电路需要下一级的输入阻抗作为负载才能正常工作。 (6) 请画出微机接口电路中,典型的输入设备与微机接口逻辑示意图(数据接口、控制接口、锁存器/缓冲器)

(完整word版)电子工程师常见面试题

电子工程师常见面试题 2 推荐 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电 荷与流出同一个节点的电荷相等. 基尔霍夫电压定律是一个能量守恒定律,即在 一个回路中回路电压之和为零. 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联 反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,

改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大 器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出 一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C上 电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高 通滤波器,何为低通滤波器。当RC<

硬件工程师笔试题硬件工程师笔试题

硬件工程师面试试题 模拟电路 1、基尔霍夫定理的内容是什么?(仕兰微电子) 2、平板电容公式(C=εS/4πkd)。(未知) 3、最基本的如三极管曲线特性。(未知) 4、描述反馈电路的概念,列举他们的应用。(仕兰微电子) 5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展放大器的通频带,自动调节作用)(未知) 6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子) 7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知) 8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸) 9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知) 10、给出一差分电路,告诉其输出电压Y+和Y-,求共模分量和差模分量。(未知) 11、画差放的两个输入管。(凹凸) 12、画出由运放构成加法、减法、微分、积分运算的电路原理图。并画出一个晶体管级的运放电路。(仕兰微电子) 13、用运算放大器组成一个10倍的放大器。(未知) 14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(Infineon笔试试题) 15、电阻R和电容C串联,输入电压为R和C之间的电压,输出电压分别为C 上电压和R上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当RC<

结构工程师面试试题及答案33494

填空题: 1.一般电池片常用的材料有,怎样防止电池片刮伤电池?收尾处弯安全扣. 2.打样弹簧,我们常提供:簧丝直径d,弹簧外径D,自由高度H0,有效圈数n等参数给供货商. 3.钢按含碳量分为:低碳钢,中碳钢,高碳钢.我们常讲的黄牌料是中碳钢(或45#钢). 4.标准圆柱齿轮齿数为10,齿顶圆直径7.2mm,那幺m(模数)=0.6. 5.假设塑胶为ABS,普通牙螺丝?1.7,?2.3,?2.6,?3.0(mm)各打多大的底孔??1.4mm,?2.0mm,?2.2mm,?2.6mm. 6.2A电池长度是50.5mm,直径是14.5mm,3A电池长度是44.5mm,直径是10.5mm. 7.一般情况下导电胶(RubberKey)的行程是1.0~1.5mm.压力是 0~250g,寿命是5,000~10,000次,碳点阻值<150Ω 8.结构工程师在确认产品是否OK时,可根据外观、寸法、装配进行判定。2v:}1^!`6|2?*R8 A6]'z(|9.模胚类型一般可分为:大水口模胚、标准细水口、简化型细水口三大类。10.喷油过程中三喷三烤主要针对哪几种颜色:红、白、蓝。5e. 问答题: 11.做为结构工程师,你如何保证你设计的结构能一次制模成功而不需做好后再改模具?答:在做结构前充分了解产品的要求,制造的过程和能力以及制模的精确度来控制好各方面的尺寸配合,以及装配次

序。(不过不改模是比较理想的,实际很少见,特别是一些复杂的零件;小配件还可以达到一次OK)12.用在充电器(使用220V交流)上的塑料应具备那些要求,目前价位多少?答:1电性能良好;2耐化学性;3较高冲击韧性和力学强度;4耐气侯性13. 透明材料有哪几种,哪种硬度更好,不易刮伤,目前价格多少?答:透明材料有PMMA,PC,GPPS,SAN;PC的硬度好,目前价格在20RMB/KG左右(因供应商和等级的不同价相差较大。14.前模后模的模芯厚度尺寸(在做模时)应具备哪些要求?答:比产品的最厚处预留25-35MM。(模具不是很董)15.ABSV0级防火材料是什么意思?答:V0是UL垂直耐然等级的一个级别,依UL的规范取测试片做垂直燃烧实验在10秒内不能燃烧到夹头。16.做ABSV0级防火材料的模具应使用什么材料?答:因ABSV0防火料注塑加热时会产生腐蚀的酸性气体,所以要选用耐酸性腐蚀的钢材如S316;2316;420等。17.做透明材料的模具应使用什么材料,为什么?答:透明度要求高的选S316,其次是420(其它可选的有NAK80,718S等)因为这做透明件要求模具钢材要有1优良的抛光性,以保证透明件的表面光洁度;2优良的耐磨性以保证模具的寿命;3因常用来做透明材料的PC,PMMA有弱酸性,所还要求有较好的耐酸。以上钢材能达到要求。18.磷铜主要用来做充电器五金件,磷铜有几种可选?电镀后不生锈吗?电镀时应向电镀厂规定哪些质量指标?答:有C5111,C5102,C5191,5210可选;电镀后不会生锈;电镀时应规定其电气性能参数,外观;镀层厚度等指标。 19.一般磷铜五金件模具的选择有哪些要求?答:1从生产方式分有

电子工程师技术评定考试

电子工程师技术评定考试 目录 电子工程师技术评定考试 一、考试简介: 报考条件: 三、报名需带物品: 四、全国电子技术水平考试认证证书: 考试大纲 主要课程: 电子工程师技术评定考试 一、考试简介: 报考条件: 三、报名需带物品: 四、全国电子技术水平考试认证证书: 考试大纲 主要课程: 展开 编辑本段电子工程师技术评定考试 编辑本段一、考试简介: 全国电子工程师技术水平考试是在信息产业部领导下,信息产业部电子行业职业技能鉴定指导中心组织实施的,面向从事电子及相关类专业的企业工程技术人员、企业技术工人、各普通院校学生及技工学校学生。 全国电子技术水平考试按照市场对电子专业人才不同岗位的需求,将认证由低到高分为三个级别:一级对应能力水平相当于电子助理工程师;二级对应能力水平相当于电子工程师;三级对应能力水平相当于电子高级工程师。 内容包括了电力电子器件、模拟电子技术、数字电子技术、现代通信技术、CMOS数字集成电路、单片机应用系统、信号与系统分析基础八门课程,涵盖了电子技术应用人才所需的理论知识、技能水平和项目设计实施能力。参加考试合格后,学员可获得信息产业部颁发的相应级别的认证证书和成绩单。 证书中对通过该级别考试后所掌握的知识和具备的能力进行了详细的描述,既可作为学员职业能力的证明,也可作为企事业单位选聘人才依据。

二、全国电气智能应用水平考试(NCEE) 编辑本段报考条件: (一)在校学生报考条件: 一级(助理)报考条件:专科以下(含中专及同等学历)者均可报名考试。 二级(中级)报考条件:专科以上(含专科)者均可报名考试。 三级(高级)报考条件:本科以上(含本科)者均可报名考试。 在校生只能从一级开始考,并且达到一级水平3年以上可申请参加二级水平考试,达到二级水平3年以上可申请参加三级水平考试。 (二)社会学员报考条件 一级报考条件:中专既同等学历以上者,同时需要交纳同等种类认证证书,均可报名考试。 二级报考条件:中专既同等学历需要5年以上工作经验,大专学历需要3年以上工作经验,本科学历需要2年以上工作经验,本科以上学历需要1年工作经验,同时需要交纳同等种类认证证书。 三级报考条件:中专同等学历者需要8年以上工作经验,大专学历需要5年以上工作经验,本科学历需要3年以上工作经验,本科以上学历需要2年工作经验,同时需要交纳同等种类认证证书。 社会学员达到一级水平3年以上可申请参加二级水平考试,达到二级水平3年以上可申请参加三级水平考试。 除以上条件外还需本人工作单位出示其工作经验证明,或是本人的其它相关等级证书者也可报考高一级别考试。 编辑本段三、报名需带物品: 报名需要2寸蓝底照片6张、身份证复印件、学历复印件、其它相关等级证书复印件。 编辑本段四、全国电子技术水平考试认证证书: 本证书是信息产业部颁发的权威认证,通过全国电子技术水平考试相应级别的学员,成绩合格可获得信息产业部电子行业职业技能鉴定指导中心印制并颁发的证书和成绩单。 编辑本段考试大纲 1.电子技术基础

常见硬件工程师笔试题标准答案

硬件工程师笔试题 一、电路分析: 1、竞争与冒险 在组合逻辑中,在输入端的不同通道数字信号中经过了不同的延时,导致到达该门的时间不一致叫竞争。因此在输出端可能产生短时脉冲(尖峰脉冲)的现象叫冒险。 常用的消除竞争冒险的方法有:输入端加滤波电容、选通脉冲、修改逻辑设计等。 2、同步与异步 同步逻辑就是时钟之间有固定的因果关系。异步逻辑就是各时钟之间没有固定的因果关系。同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 异步电路不使用时钟脉冲做同步,其子系统就是使用特殊的“开始”与“完成”信号使之同步 同步就就是双方有一个共同的时钟,当发送时,接收方同时准备接收。异步双方不需要共同的时钟,也就就是接收方不知道发送方什么时候发送,所以在发送的信息中就要有提示接收方开始接收的信息,如开始位,结束时有停止位 3、仿真软件:Proteus 4、Setup 与Hold time Setup/hold time 就是测试芯片对输入信号与时钟信号之间的时间要求。建立时间就是指触发器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就就是建立时间-Setup time、如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被打入触发器。保持时间就是指触发器的时钟信号上升沿到来以后,数据稳定不变的时间。如果hold time不够,数据同样不能被打入触发器。 5、IC设计中同步复位与异步复位的区别 同步复位在时钟沿采集复位信号,完成复位动作。异步复位不管时钟,只要复位信号满足条件,就完成复位动作。异步复位对复位信号要求比较高,不能有毛刺,如果其与时钟关系不确定,也可能出现亚稳态。 6、常用的电平标准 TTL: transistor-transistor logic gate晶体管-晶体管逻辑门 CMOS:Complementary Metal Oxide Semiconductor互补金属氧化物半导体 LVTTL(Low Voltage TTL)、LVCMOS(Low Voltage CMOS):3、3V、2、5V RS232、RS485 7、TTL电平与CMOS电平

电子工程师招聘笔试题及详细解析(不看后悔)

一、 二、 三、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置,b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V,CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10K电阻,则相当于在该输入端输入低电平;在CMOS门电 路的输入端与电源之间接一个1K电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10k。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220k±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址

电子工程师招聘笔试题及详细解析(不看后悔)分析

一、基础题(每空1分,共40分) 1、晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和_状态。 1.截止状态:基极电流Ib=0,集电极电流Ic=0,b-ePN结临界正向偏置到反向偏置, b-cPN结反向偏置。 2.放大状态:集电极电流随基极电流变化而变化,Ic=βIb,b-ePN结正向偏置,b-cPN结反向偏置。 3.饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN结、b-cPN结都正向偏置 2、TTL门的输入端悬空,逻辑上相当于接高电平。 3、TTL电路的电源电压为5V, CMOS电路的电源电压为3V-18V 。 4、在TTL门电路的一个输入端与地之间接一个10KΩ电阻,则相当于在该输入端输入低电平;在CMOS门电路的 输入端与电源之间接一个1KΩ电阻,相当于在该输入端输入高电平。 5、二进制数(11010010)2转换成十六进制数是D2。 6、逻辑电路按其输出信号对输入信号响应的不同,可以分为组合逻辑电路和时序逻辑电路两大类。 7、组成一个模为60的计数器,至少需要6个触发器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63个脉冲 8、在数字电路中,三极管工作在截止和饱和状态。 9、一个门电路的输出端能带同类门的个数称为扇出系数。 10、使用与非门时多余的输入脚应该接高电平,使用或非门时多余的输入脚应该接低电平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、贴片电阻上的103代表10kΩ。 12、USB支持控制传输、同步传输、中断传输和批量传输等四种传输模式。 13、一个色环电阻,如果第一色环是红色,第二色环是红色,第三色环是黄色,第四色环是金色,则该电阻 的阻值是220kΩ±10%。 14、MOV A,40H 指令对于源超作数的寻址方式是直接寻址。 指令中直接给出操作数地址(dir)的寻址方式称为直接寻址。以寄存器中的内容为地址,该地址的内容为操作数的寻址方式称为寄存器间接寻址 15、8051系列单片机的ALE信号的作用是地址锁存控制信号。 Address lock enable :地址锁存允许端 15、MCS-8051系列单片机字长是______位。 16、一个10位地址码、8位输出的ROM,其存储容量为。 17、队列和栈的区别是_________。 18、do……while和while……do的区别是_______。 19、在计算机中,一个字节所包含二进制位的个数是______。

硬件工程师笔试题附答案

一、填空题(每题5分,8题,共40分) 1.二极管的导通电压一般是0.7V 。 2.MOS管根据掺杂类型可以分为NMOS 、PMOS 。 3.晶体三极管在工作时,发射结和集电结均处于正向偏置,该晶体管工作在饱和状态。 4.二进制数(11010010)2转换成十六进制数是D2 。 5.贴片电阻上的103代表10k。 6.输出使用OC门或OD门实现线与功能。 7.假设A传输线的特征阻抗是70欧姆,B传输线的特征阻抗是30欧姆,A传输线与B传输线相 连,那么它们之间的反射系数是0.4。(-0.4也可以是正确答案) 8.假设模拟信号的输入带宽是10Hz~1MHz,对信号进行无失真采样的最低频率是 2MHz 。 二、问答题(每题10分,6题,共60分) 1.单片机上电后没有运转,首先要检查什么?(10分) 答案:第一步,测量电源电压是否正常;第二步,测量复位引脚是否正常;第三步,测量外部晶振是否起振。2.请分别画出BUCK和BOOST电路的原理框图。(10分) BUCK电路: BOOST电路: 3.请画出SAR型(逐次逼近型)ADC的原理框图,或者描述SAR型ADC的工作原理。(10 分)

SAR型ADC包括采样保持电路(S/H)、比较器(COMP ARE)、数/模转换器(DAC)、逐次逼近寄存器(SAR REGISTER) 和逻辑控制单元(SAR L OGIC)。模拟输入电压VIN由采样保持电路采样并保持,为实现二进制搜索算法,首先由SAR L OGIC 控制N位寄存器设置在中间刻度,即令最高有效位MSB为“1”电平而其余位均为“0”电平,此时数字模拟转换器DAC输出电压VDAC为0.5VREF,其中VREF为提供给ADC的基准电压。由比较器对VIN和VDAC进行比较,若VIN>VDAC ,则比较器输出“1”电平,N位寄存器的MSB保持“1”电平;反之,若VN

电子工程师招聘笔试题及详细解析.doc

一、基础题(每空 1 分,共 40 分) 1、晶体三极管在工作,射和集均于正向偏置,晶体管工作在和 _状。 1. 截止状态:基极电流 Ib=0 ,集电极电流 Ic=0 , b-ePN 结临界正向偏置到反向偏置,b-cPN 结反向偏置。 2. 放大状态:集电极电流随基极电流变化而变化, Ic= βIb , b-ePN 结正向偏置, b-cPN 结反向偏置。 3. 饱和状态:集电极电流达到最大值,基极电流再增加集电极流也不会增加,这时的一个特征是b-ePN 结、 b-cPN 结都正向偏置 2、 TTL 的入端空,上相当于接高平。 3、 TTL 路的源5V, CMOS路的源3V-18V 。 4、在 TTL 路的一个入端与地之接一个10K阻,相当于在入端入 低平;在CMOS路的入端与源之接一个1K阻,相当于在入端入高平。 5、二制数() 2 成十六制数是D2。 6、路按其出信号入信号响的不同,可以分合路和序路两大。 7、成一个模60 的数器,至少需要 6 个触器。 一个触发器相当于一位存储单元,可以用六个触发器搭建异步二进制计数器,这样最多能计63 个脉冲 8、在数字路中,三极管工作在截止和和状。 9、一个路的出端能同的个数称扇出系数。 10、使用与非多余的入脚接高平,使用或非多余的入脚接低平。 与非门:若当输入均为高电平(1),则输出为低电平(0);若输入中至少有一个为低电平(0),则输出为高电平(1)。所以多余的输入脚接高电平或非门:若当输入均为低电平(1),则输出为高电平(0);若输入中至少有一个为高电平(0),则输出为低电平(1)。所以多余的输入脚接低电平 11、片阻上的103 代表10k 。 12、USB支持控制、同步、中断和批量等四种模式。 13、一个色阻,如果第一色是色,第二色是色,第三色是黄色,第四色是金色,阻 的阻是220k± 10%。 14、MOV A, 40H 指令于源超作数的址方式是直接址。 指令中直接出操作数地址(dir )的址方式称直接址。以寄存器中的内容地址,地址的内容操 作数的址方式称寄存器接址 15、 8051 系列单片机的 ALE信号的作用是地址存控制信号。 Address lock enable:地址锁存允许端 15、MCS-8051系列片机字是 ______位。 16、一个 10 位地址、 8 位出的 ROM,其存容量。 17、列和的区是 _________。 18、do?? while 和 while ?? do 的区是 _______。 19、在算机中,一个字所包含二制位的个数是______。 20、8051 复位后, PC=______。若希望从片内存器开始行,EA 脚接 ______ 平, PC超 ______

电子工程师考试大纲

综合知识考试概要考试方式闭卷:独立完成,不能带书、资料、笔记等网上考试:试题随机分布,其顺序各不相同时间:150 分钟 题型: 是非16题,24分选择13 题,26分 填空8题,16分简答3题,15 分综合2题,19分 考试的主要内容 基本元器件的知识--R.L.C. 、二极管、三极管等电子电路的基本知识--RC 电路、模电、数电等计算机的基本知识--组成、参数、接口、语言等基本测量仪器及使用--示波器、电压表、信号源、稳压电源等综合应用--设计题、分析题大学生工程师论证的条件、程序、等级等规定考试内容分述 基本元器件 R.L.C --标识、应用、V 与I 的相位关系变压器--种类、特点、电压、电流、阻抗之比二极管--种类、特性、参数、应用等三极管--种类、特性、参数、应用等基本元器件的知识--R.L.C. 、二极管、三极管等电子电路的基本知识--RC 电路、模电、数电等计算机的基本知识--组成、参数、接口、语言等基本测量仪器及使用--示波器、电压表、信号源、稳压电源等综合应用--设计题、分析题大学生工程师论证的条件、程序、等级等规定 R.L.C --标识、应用、V 与I 的相位关系变压器--种类、特点、电压、电流、阻抗之比二极管--种类、特性、参数、应用等三极管--种类、特性、参数、应用等基尔霍夫第一、第二定律戴维定理、叠加定理四种滤波器--低通、高通、带通、带阻基本电路--做耦合、滤波、微分、积分 RLC 串联、并联电路 模拟电子电路--基本放大电路

共e、共c电路为主,共b电路次之一一电路及电路特点,元件作用,应用、计算等模拟电子电路--负反馈放大器 四种负反馈放大电路 电压串联负反馈放大器――稳定Vo,提高Ri 电压并联负反馈放大器――稳定Vo,降低Ri 电流串联负反馈放大器稳定Io,提高Ri

XX硬件工程师面试题

华为硬件工程师面试题 各位读友大家好,此文档由网络收集而来,欢迎您下载,谢谢 华为硬件工程师面试题 1.用与非门等设计全加法器 2.给出两个门电路让你分析异同 3.名词:sram,ssram,sdram (SRAm 是静态随机存储器,DRAm是动态随机存储器!SDRAm是同步动态随机存储器,SSRAm就是同步静态随机存储器!) 4.信号与系统:在时域与频域关系 5.信号与系统:和4题差不多 6.晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机的,12分之一周期.. ..) 7.串行通信与同步通信异同,特点,比较 高电平脉冲对应的TTL逻辑是?(负逻辑?) 9.延时问题,判错

10.史密斯特电路,求回差电压 是什么,什么参数(压控振荡器?) 12. 用D触发器做个二分颦的电路.又问什么是状态图 13. 什么耐奎斯特定律,怎么由模拟信号转为数字信号 14. 用D触发器做个4进制的计数 15.那种排序方法最快? 一、研发(软件) 用c语言写一个递归算法求N!; 给一个c的函数,关于字符串和数组,找出错误; 防火墙是怎么实现的? 你对哪方面编程熟悉? 二、硬件 1、继电器控制电路。 2、SDRAm是怎么工作的 3、写出逻辑表达式说明A2A1A0大于B2B1B0。 4、常用触发器有几种 5、示波器有几种触发方式,举出三种

DSP2.二极管 三简答 (t)的傅立叶变换为X(jw)=$(w) $(w-PI) $(w-5) h(t)=u(t)-u(t-2) 问: (1),x(t)是周期的吗? (2),x(t)*h(t)是周期的吗? (3),两个非周期的信号卷积后可周期吗? 2.简述分组交换的特点和不足 四分析设计 1.波形变换题目 从正弦波->方波->锯齿波->方波,设计电路 计数器组成计数电路,分析几进制的 3.用D触发器构成2分频电路 4.判断mcS-51单片机的指令正确还是错误,并指出错误原因 (1) mUL R0,R1 (2) moV A,@R7 (3) moV A,#3000H (4) moVc @A DPTR,A

电子工程师考试试题

电子工程师考试试题 一、名词解释:10 1、电池容量: 2、(保护线路规格): 二、问答题:20 1、如何处理电路中接地的问题?若多个地之间应该怎样隔离?在进行设计时,怎么解决多个地连接在一起对电路的干扰? 2、功率场效应管()分为哪两类?都有什么区别?它在开关电源和功率电子线路中都有什么用途?在实际使用功率场效应管的过程中需要注意些什么问题? 三、简答题:30 1、请简述基本运算电路的分类和相关作用,并画出相应的简图。 2、以下是开关电源的两种工作示意图,请简单描述其变换类型、工作原理和工作过程: 图一 图二 四、综合题:40 请简述以下电路的功能、工作原理及每个元器件的用途(431为2.5V的基准电压源),若要让电路实现以下功能: 6.0V<< 7.1V时,亮1个; 7.1V<<7.4V时,亮2个; 7.4V<<7.7V时,亮3个; 7.7V<<8.4V时,亮4个, 电路中R7、R8、R9的阻值分别为多少?请写出简单的计算思路和过程。 电子设计工程师认证综合知识考试模拟试题

一、是非题(每题1.5分,共24分) 1、()变容二极管正常工作时,应加正向电压。 2、()门电路的标准高电平为+12V。 3、()通常,电容器的品质因素(Q值)要高于电感器。 4、()信号源的输出电阻应当大一点好,如此可增大它的带载能力。 5、()模拟示波器中,不论是连续扫描还是触发扫描,扫描信号都应与被测信号同步。 6、()要测量某一放大器或网络的幅频特性,应选用频谱分析仪作为测量仪器。 7、()可以直接购置到100、1100的电容器。 8、( ) 在相同条件下,双端输出差动放大器的电压增益要比单端输出差动放大器的电压增益高一倍。 9、( ) 只要工作点合适,被晶体管放大器放大的信号就不会失真。 10、( ) 交流市电供电的直流稳压电源电路中,整流后的滤波电容器的容量一般为几微法拉。 11、( ) 对40 ~ 5 的音频信号作转换时,转换芯片的转换时间至少不应小于 100μs。 12、()单片机作串行通信时,其波特率有固定与可变两种方式。 13、( ) 电压表的输入电阻应愈大愈好。 14、()与非门的一个输入端为低电平时,其输出端总为低电平,而与其它输入端的状态无关。 15、()在高速脉冲作用时,普通晶体二极管可能会失去单向导电性能。 16、()存储芯片是属于能够直接在线快速写入与读出的存储器。 二、选择题(每题2分共26分) 1、标称为104的电容器,其容量为:()

硬件工程师经典面试100 题

硬件经典面试100 题(附参考答案) 1、请列举您知道的电阻、电容、电感品牌(最好包括国内、国外品牌)。 电阻: 美国:AVX、VISHAY 威世 日本:KOA 兴亚、Kyocera 京瓷、muRata 村田、Panasonic 松下、ROHM 罗姆、susumu、TDK 台湾: LIZ 丽智、PHYCOM 飞元、RALEC 旺诠、ROYALOHM 厚生、SUPEROHM 美隆、TA-I 大毅、TMTEC 泰铭、TOKEN 德键、TYOHM 幸亚、UniOhm 厚声、VITROHM、VIKING 光颉、WALSIN 华新科、YAGEO 国巨 新加坡:ASJ 中国:FH 风华、捷比信 电容: 美国:AVX、KEMET 基美、Skywell 泽天、VISHAY 威世 英国:NOVER 诺华德国:EPCOS、WIMA 威马丹麦:JENSEN 战神 日本:ELNA 伊娜、FUJITSU 富士通、HITACHI 日立、KOA 兴亚、Kyocera 京瓷、Matsushita 松下、muRata 村田、NEC、 nichicon(蓝宝石)尼吉康、Nippon Chemi-Con(黑金刚、嘉美工)日本化工、Panasonic 松下、Raycon 威康、Rubycon(红 宝石)、SANYO 三洋、TAIYO YUDEN 太诱、TDK、TK 东信 韩国: SAMSUNG 三星、SAMWHA 三和、SAMYOUNG 三莹 台湾:CAPSUN、CAPXON(丰宾)凯普松、Chocon、Choyo、ELITE 金山、EVERCON、EYANG 宇阳、GEMCON 至美、 GSC 杰商、G-Luxon 世昕、HEC 禾伸堂、HERMEI 合美电机、JACKCON 融欣、JPCON 正邦、LELON 立隆、LTEC 辉城、 OST 奥斯特、SACON 士康、SUSCON 冠佐、TAICON 台康、TEAPO 智宝、WALSIN 华新科、YAGEO 国巨 香港:FUJICON 富之光、SAMXON 万裕中国:AiSHi 艾华科技、Chang 常州华威电子、FCON 深圳金富康、FH 广东 风华、HEC 东阳光、JIANGHAI 南通江海、JICON 吉光电子、LM 佛山利明、R.M 佛山三水日明电子、Rukycon 海丰三力、 Sancon 海门三鑫、SEACON 深圳鑫龙茂电子、SHENGDA 扬州升达、TAI-TECH 台庆、TF 南通同飞、TEAMYOUNG 天 扬、QIFA 奇发电子 电感: 美国:AEM、AVX、Coilcraft 线艺、Pulse 普思、VISHAY 威世 德国:EPCOS、WE 日本:KOA 兴亚、muRata 村田、Panasonic 松下、sumida 胜美达、TAIYO YUDEN 太诱、TDK、TOKO、TOREX 特瑞仕 台湾:CHILISIN 奇力新、https://www.doczj.com/doc/c35127987.html,yers 美磊、TAI-TECH 台庆、TOKEN 德键、VIKING 光颉、WALSIN 华新科、YAGEO 国 巨 中国:Gausstek 丰晶、GLE 格莱尔、FH 风华、CODACA 科达嘉、Sunlord 顺络、紫泰荆、肇庆英达

硬件工程师面试题

一、编制原则: 1、应聘者与空缺职位的匹配度(选择合适人选) 2、信度(突破人/时/空因素,结果一致性) 3、效度(面试结果与实际工作绩效的相关度) 4、普遍适用性(通用常规岗位,针对技能、潜力、素质及性格特点等方面) 二、硬件工程师职位考察要素及权重(满分100) (一)应届生招聘 (A类,A1:嵌入式软件工程师,A2:逻辑工程师,A3:算法工程师,A4:PCB工程师,A5:射频工程师,A6:测试工程师) 1、业务技术能力40% 2、沟通表达能力15% 3、抗压能力10% 4、主动学习能力20% 5、稳定性15% 附:A类结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(40)理论概念10 工具使用10 语言能力5 项目经验12 管理经验3 沟通表达能力(15)逻辑性3 条理性4 反应能力3 总结性5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(20)自我激励2 执行力3 学习计划5 问题解决10 稳定性(15)工作周期5 离职原因5 发展规划5 合计100 岗位匹配度5

面试人 其它补充说明 (二)社会招聘 (B类,B1:嵌入式软件工程师,B2:逻辑工程师,B3:算法工程师,B4:PCB工程师,B5:射频工程师,B6:测试工程师) 1、业务技术能力60% 2、沟通表达能力10% 3、抗压能力10% 4、主动学习能力10% 5、稳定性10% 附:软件测试岗位结构化面试评分表 主要特质要点分值初试复试(一)复试(二)备注 业务能力(60)理论概念10 测试工具15 语言能力10 项目经验20 管理经验5 沟通表达能力(10)逻辑性2.5 条理性2.5 反应能力2.5 总结性2.5 抗压能力(10)原工作强度5 加班看法2 调节方法3 主动学习能力(10)问题解决2 执行力3 学习计划5 稳定性(10)工作周期5 离职原因3 发展规划2 合计100 岗位匹配度5 面试人 其它补充说明 (三)项目经理招聘 (C类)

电子工程师综合知识试题含答案)

电子设计工程师综合知识考试试卷(含答案) 2009-1-12 一、是非题(每题1.5分,共24分) 1、(对)三只普通硅二极管串联,可作2.1伏稳压管使用。 2、(对)在很高频率的条件下工作,晶体二极管将失去单向 导电性能。 3、(对)桥式整流,负载为电容滤波的电路中,若电容器上 的电压为17伏,则整流器输入的交流信号有效值一般 要大于17伏。 4、(错)交流放大器级间耦合电容器的容量若减小,则放大 器的低频特性将变差,即f L将减小。 5、(错)共发射极晶体管放大器与共源极场效应管放大器相 比,前者所允许的输入信号幅值将大于后者。 6、(错)触发器的置位端(置1端)至输出端的信号延时量 一定大于触发器由输入端至输出端的延时量。 7、(错)在实际电路中,与门、与非门的多余输入端口最好 都接高电平,以免干扰信号窜入电路。 8、(对)译码器、加法器、触发器等都属于组合逻辑电路。 9、(对)计算机系统既应包括硬件系统,也应包括软件系统。 10、(错)计算机的机器语言能为硬件电路所识别,它与所 用CPU的类型无关。

11、(对)MCS-51单片机的复位电平均为低电平,其持续(保 持)时间应在2个机器周期以上。 12、(对)MCS-51单片机与80C51单片机是完全兼容的。 13、(错)要对20H Z~10 k H Z的语音信号进行A/D转换,则 采样信号的频率应在10KH Z以上。 14、(对)信号源的输出阻抗一般均较低,电压表的输入阻 抗均较高。 15、(错)直流稳压电源的内阻愈高,它的输出电压稳定性 能就愈好。 16、(对)扫频仪是用来检测、分析信号频谱结构的一种测量 仪器。 二、选择题(每题2分,共26分) 1、( A )标注为2P2的电容器,其电容值为: A、2.2PF B、22PF C、220PF D、0.22uF 2、( B )在色环(带)标注的电阻值的电阻体上,棕色代表数字: A、0 B、1 C、2 D、3 3、( D )稳压管、变容二极管在正常工作时,应: A、二者均加正向电压 B、二者均加反向电压

华为硬件工程师面试题

DSP、嵌入式、软件等 1、请用方框图描述一个你熟悉的实用数字信号处理系统,并做简要的分析;如果没有,也可以自己设计一个简单的数字信号处理系统,并描述其功能及用途。(仕兰微面试题目) 2、数字滤波器的分类和结构特点。(仕兰微面试题目) 3、IIR,FIR滤波器的异同。(新太硬件面题) 4、拉氏变换与Z变换公式等类似东西,随便翻翻书把如.h(n)=-a*h(n-1)+b*δ(n) a.求h(n)的z变换; b.问该系统是否为稳定系统; c.写出FIR数字滤波器的差分方程;(未知) 5、DSP和通用处理器在结构上有什么不同,请简要画出你熟悉的一种DSP结构图。(信威dsp软件面试题) 6、说说定点DSP和浮点DSP的定义(或者说出他们的区别)(信威dsp软件面试题) 7、说说你对循环寻址和位反序寻址的理解.(信威dsp软件面试题) 8、请写出【-8,7】的二进制补码,和二进制偏置码。用Q15表示出0.5和-0.5.(信威dsp软件面试题) 9、DSP的结构(哈佛结构);(未知) 10、嵌入式处理器类型(如ARM),操作系统种类(Vxworks,ucos,winCE,linux),操作系统方面偏CS方向了,在CS篇里面讲了;(未知) 11、有一个LDO芯片将用于对手机供电,需要你对他进行评估,你将如何设计你的测试项目? 12、某程序在一个嵌入式系统(200M CPU,50M SDRAM)中已经最优化了,换到零一个系统(300M CPU,50M SDRAM)中是否还需要优化?(Intel) 13、请简要描述HUFFMAN编码的基本原理及其基本的实现方法。(仕兰微面试题目) 14、说出OSI七层网络协议中的四层(任意四层)。(仕兰微面试题目) 15、A)(仕兰微面试题目) #i nclude void testf(int*p) { *p+=1; } main() { int *n,m[2]; n=m; m[0]=1; m[1]=8; testf(n); printf("Data value is %d ",*n); } ------------------------------ B) #i nclude void testf(int**p) {

相关主题
文本预览
相关文档 最新文档