当前位置:文档之家› 第三章 组合逻辑电路

第三章 组合逻辑电路

第三章 组合逻辑电路
第三章 组合逻辑电路

第五章组合逻辑电路典型例题分析

第五章 组合逻辑电路典型例题分析 第一部分:例题剖析 例1.求以下电路的输出表达式: 解: 例2.由3线-8线译码器T4138构成的电路如图所示,请写出输出函数式. 解: Y = AC BC ABC = AC +BC + ABC = C(AB) +CAB = C (AB) T4138的功能表 & & Y 0 Y 1 Y 2 Y 3 Y 4 Y 5 Y 6 Y 7 “1” T4138 A B C A 2A 1A 0Ya Yb S 1 S 2 S 30 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 S 1S 2S 31 0 01 0 01 0 01 0 01 0 01 0 01 0 01 0 0 A 2A 1A 0Y 0Y 1Y 2Y 3Y 4Y 5Y 6Y 70 1 1 1 1 1 1 11 0 1 1 1 1 1 11 1 0 1 1 1 1 11 1 1 0 1 1 1 11 1 1 1 0 1 1 11 1 1 1 1 0 1 11 1 1 1 1 1 0 11 1 1 1 1 1 1 0

例3.分析如图电路,写出输出函数Z的表达式。CC4512为八选一数据选择器。 解: 例4.某组合逻辑电路的真值表如下,试用最少数目的反相器和与非门实现电路。(表中未出现的输入变量状态组合可作为约束项) CC4512的功能表 A ? DIS INH 2A 1A 0Y 1 ?0 1 0 0 0 00 00 00 0 0 0 0 00 0 ?????0 0 00 0 10 1 00 1 11 0 0 1 0 11 1 01 1 1 高阻态  0D 0D 1D 2D 3D 4D 5D 6D 7 Z CC4512 A 0A 1A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 DIS INH D 1 D A B C D Y 0 0 0 0 1 0 0 0 1 00 0 1 0 10 0 1 1 00 1 0 0 0 CD AB 00 01 11 1000 1 0 0 101 0 1 0 1 11 × × × ×10 0 1 × × A B 第一步画卡诺图第三步画逻辑电路图

第三章组合逻辑电路

第三章 组合逻辑电路 一、选择题 1.下列表达式中不存在竞争冒险的有 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的 逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D

第六章 组合逻辑电路要点

第六章组合逻辑电路 一、概述 1、组合逻辑电路的概念 数字电路根据逻辑功能特点的不同分为: 组合逻辑电路:指任何时刻的输出仅取决于该时刻输入信号的组合,而与电路原有的状态无关的电路。 时序逻辑电路:指任何时刻的输出不仅取决于该时刻输入信号的组合,而且与电路原有的状态有关的电路。 2、组合逻辑电路的特点 逻辑功能特点:没有存储和记忆作用。 组成特点:由门电路构成,不含记忆单元,只存在从输入到输出的通路,没有反馈回路。 3、组合逻辑电路的描述 4、组合逻辑电路的分类 按逻辑功能分为:编码器、译码器、加法器、数据选择器等; 按照电路中不同基本元器件分为:COMS、TTL等类型; 按照集成度不同分为:SSI、MSI、LSI、VLSI等。 二、组合逻辑电路的分析与设计方法 1、分析方法 根据给定逻辑电路,找出输出输入间的逻辑关系,从而确定电路的逻辑功能,其基本步骤为: a、根据给定逻辑图写出输出逻辑式,并进行必要的化简; b、列出函数的真值表; c、分析逻辑功能。 2、设计方法 设计思路:分析给定逻辑要求,设计出能实现该功能的组合逻辑电路。 基本步骤:分析设计要求并列出真值表→求最简输出逻辑式→画逻辑图。 首先分析给定问题,弄清楚输入变量和输出变量是哪些,并规定它们的符号与逻辑取值(即规定它们何时取值0 ,何时取值1) 。然后分析输出变量和输入变量间的逻辑关系,列出真值表。根据真值表用代数法或卡诺图法求最简与或式,然后根据题中对门电路类型的要求,将最简与或式变换为与门类型对应的最简式。

三、若干常用的组合逻辑电路 (一)、编码器 把二进制码按一定规律编排,使每组代码具有特定的含义,称为编码。具有编码功能的逻辑电路称为编码器。 n 位二进制代码有n 2种组合,可以表示n 2个信息;要表示N 个信息所需的二进制代码应满足n 2≥ N 。 1、普通编码器 (1)、二进制编码器 将输入信号编成二进制代码的电路。下面以3位二进制编码器为例分析普通编码器的工作原理。 3位二进制编码器的输入为70~I I 共8个输入信号,输出是3位二进制代码012Y Y Y ,因此该电路又称8线-3线编码器。它有以下几个特征: a 、将70~I I 8个输入信号编成二进制代码。 b 、编码器每次只能对一个信号进行编码,不允许两个或两个以上的信号同时有效。 c 、设输入信号高电平有效。 由此可得3位二进制编码器的真值表如右图所示,那么由真值表可知: 765476542I I I I I I I I Y =+++= 763276321I I I I I I I I Y =+++= 753175310I I I I I I I I Y =+++= 进而得到其逻辑电路图如下:

数字电子电路与逻辑 刘可文主编 第五章 组合逻辑电路 答案

习 题5 题5-1 逻辑电路如图5-1所示,列出对应逻辑电路的真值表。 解:图5-1所示的逻辑电路,S 的逻辑表达式为:B A B A B AB A AB S +==,C 的逻辑 表达式为:AB C =。电路所对应的真值表如表5-1所示。 题5-2 分析图5-2所示逻辑电路的逻辑功能,并且列出真值表。 解:图5-2所示的逻辑电路,输出变量Y 0、Y 1的逻辑表达式为:CD ABD CD ABD Y 0+=?=,D C B D B C D C A D C B D B C D C A Y 1?++?=????=。 电路所对应的真值表如表5-2所示。 电路的功能分析,从函数表达式以及逻辑真值表,输出变量和输入变量之间没有明显的有规律的逻辑关系,由此可以看出,可以认为电路逻辑功能为两个逻辑函数的产生电路。实现CD ABD Y 0+=,D B D BC D Y 1?++?=逻辑函数的运算。 题5-3 写出如图5-3所示逻辑电路输出函数表达式,并且列出真值表。 解:图5-3所示的逻辑电路,输出变量F 的逻辑表达式为 C B A AC BC AB C B A AC BC AB F ??+++=?????=.电路所对应的真值表如表5-3 所示。 题5-4 利用与非门实现下列函数所描述逻辑功能的逻辑电路。 (1) )D C )(C A (F ++= , (2) C A AB F +=, 图5.1 图5-3

(3) )CD B (A F += , (4) B A D B AC F ++=, (5) )B A )(D B )(C A (F +++= , (6) C B CD C A F ++=, 解:利用反演定律,将各个逻辑函数转换成“与非”表达式为 (1) AD D C )D C )(C A (F 1?=+=++= , (2) C A AB C A AB F 2?=+=, (3) CD B A D B C B A )CD B (A F 3??=++=+=, (4) B A D B AC B A D B AC F 4??=++=, (5) B A D B C A B A D B C A )B A )(D B )(C (F 5???=?++=+++= , (6) C B CD C A C B CD C A F 5??=++=。 根据逻辑函数的相应“与非”表达式作出各个逻辑函数的逻辑电路图如图5-4所示。 题5-5 利用或非门实现下列函数所描述逻辑功能的逻辑电路。 (1). ABCD D ABC D C B A D BC A D C B A D C B A D C B A D C B A L +++++++= (2). )14,11,9,8,6,5,3,1()D ,C ,B ,A (L m ∑= (3). )15,14,13,12,11()9,8,6,5,2,1()D ,C ,B ,A (L d m ∑+∑= (4). )4,3()6,2,1()C ,B ,A (L d m ∑+∑= (5). C A BC B A L ++= 解:利用如图5-5(1)、(2)、(3)、(4)、(5)、(6)所示的逻辑函数“卡诺图”,将逻辑函数化简并利用反演定律,将各个逻辑函数转换成“或非”表达式为 (1). ABCD D ABC D C B A D BC A D C B A D C B A D C B A D C B A L 1+++++++= =∑m (0,1,2,4,6,10,14,15)=)C A )(D B A )(D C B (+++++ C A D B A D C B +++++++= (2). )14,11,9,8,6,5,3,1()D ,C ,B ,A (L m 2∑= )D C B )(C B A )(D C B )(D C B )(D B A (++++++++++= D C B C B A D C B D C B D B A ++++++++++++++= (3). )15,14,13,12,11()9,8,6,5,2,1()D ,C ,B ,A (L d m 3∑+∑= )C A )(D C A )(D C A (+++++=C A D C A D C A +++++++= (4). )4,3()6,2,1()C ,B ,A (L d m 4∑+∑= A )D B )(D C (++=A D B D C ++++= (5). C A BC B A L 5++=)C B )(C A (++=C B C A +++=。

(完整版)第20章习题1-门电路与组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B A B A + B. AB B A + C. B A B A + D. A AB + 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 1 & B 1 & ≥1

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为( )。 A. F =A B AB + B. B A AB F = C. F =()A B AB + 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. F =A B +A B B. F =AB AB + C. F =AB +A B 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B A B F A B F A B F 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1

第10章 组合逻辑电路

第10章组合逻辑电路 一、基本要求 1.掌握组合电路的特点及其分析方法和设计方法; 2.理解几种常用的组合逻辑电路及其中规模器件的功能并掌握使用方法; 3.了解组合逻辑电路中的竟争——冒险现象。 二、阅读指导 1、组合逻辑电路的特点 组合逻辑电路在逻辑功能上的特点是电路任意时刻的输出状态,只取决于该时刻的输入状态,而与该时刻之前的电路输入状态和输出状态无关。 组合逻辑电路在结构上的特点是不含有具有存储功能的电路。可以由逻辑门或者由集成组合逻辑单元电路组成,从输出到各级门的输入无任何反馈线。 组合逻辑电路的输出信号是输入信号的逻辑函数。这样,逻辑函数的四种表示方法,都可以用来表示组合逻辑电路的功能。 2、组合逻辑电路的分析 组合逻辑电路的分析就是根据给定的逻辑电路,通过分析找出电路的逻辑功能,或是检验所设计的电路是否能实现预定的逻辑功能,并对功能进行描述。其一般步骤为:(1)根据逻辑图写出输出逻辑函数表达式 由输入端逐级向后推(或从输出向前推到输入),写出每个门的输出逻辑函数表达式,最后写出组合电路的输出与输入之间的逻辑表达式。有时需要对函数式进行适当的变换,以使逻辑关系简单明了。 (2)列出真值表 列出输入逻辑变量全部取值组合,求出对应的输出取值,列出真值表。 (3)说明电路的逻辑功能 根据逻辑表达式或真值表确定电路的逻辑功能,并对功能进行描述。 3、组合逻辑电路的设计 根据给定的逻辑功能要求,设计出能实现这一功能要求的最简组合逻辑电路,就是设计组合逻辑电路的任务。 在设计组合逻辑电路时,电路的最简是我们追求的目标之一。电路的“最简”含意是指所用器件数最少、器件的品种最少、器件间的连线也最少。 组合逻辑电路设计的一般步骤如下: (1)进行逻辑规定 根据设计要求设计逻辑电路时,首先应分析事件的因果关系,确定输入与输出逻辑变量,并规定变量何时取1何时取0,即所谓逻辑状态赋值。 (2)列真值表并写出逻辑函数式 根据输入、输出之间的因果关系,列出真值表。至此,便将一个具有因果关系的事件表示为逻辑函数,并且是以真值表的形式给出。 真值表中输出为1时所对应的各最小项之和就是输出逻辑函数式。 (3) 对输出逻辑函数式化简

数字电路第二章答案

第二章 组合逻辑电路 习题参考答案 2-1 写出图2-29所示各逻辑电路输出的逻辑表达式,列出真值表。 解:(a) BC AB Z +=1 (b) D C B A D C B A Z =+?+=2 真值表: (3) E D C B A E D C B A Z +++++++=)(3 E D C B A E D C B A +++?+++= ))((E D C B A E D C B A ++++++++=

+ + B C D ? + ] = + + E A+ ] ) A ( ) ( [ [E B C D A+ B A + + C = + + A (E )( D D ) B E B C BE C A+ A + D = + + B E D E E B C A E 真值表: 2-2分析图2-30所示的各逻辑电路,写出输出的逻辑表达式,列出真值表。

解:(a) )()(AC C B A C B A Z ?+?⊕+⊕= C B A C A B A C B A ⊕++=)( C B A C A B A C B A C B A C A B A C B A +++++=)( C B A A C B A C B A C A B A C B A +=+=+++= 真值表: (b) C B A ABC C B A C B A C B C B A C B A X +++=+⊕=⊕⊕=)()( C A BC B A Y ++= 2-3分析图2-31所示的逻辑电路,画出电路输出的波形图。 解:由逻辑图可以得到其输出表达式 C A D D BC B AD C AD D BC B AD Z +++==)( C AD D C B B D A +++++=)()( C AD D C D B D B B A +++++= C AD D B D B B A ++++=

(完整版)第五章 CMOS组合逻辑电路设计II

第五章CMOS组合逻辑电路设计II -动态CMOS电路 第一节动态逻辑门电路的基本结构、原理、特点第二节多米诺(Domino)CMOS电路 第三节改进的Domino CMOS电路 第四节时钟CMOS (C2MOS)

第一节动态逻辑门电路的基本结构、原理、特点 一、预充-求值动态CMOS的基本结构和工作原理 二、动态CMOS的特点 三、动态CMOS的问题 四、动态CMOS的级联 静态电路:靠管子稳定的导通、截止来保持输出状态除状态反转外,输出始终与VDD和GND保持通路。动态电路:靠电容来保存信息

一、预充-求值动态CMOS 的基本结构和工作原理 In 1In 2PDN In 3M e M p Clk Clk Out C L 预充-求值动态CMOS 电路的基本结构 工作过程: ?预充阶段:Clk =0,Out 被Mp 预充到VDD ,Me 截止,无论输入何值,均不存在直流通路。 此时的输出无效。 ?求值阶段:Clk =1,Mp 截止,Me 导通,Out 和GND 之间形成一条有条件的路径。具体由PDN 决定。若PDN 存在该路径,则Out 被放电,Out 为低电平,“0”。如果不存在,则预充电位保存在CL 上,Out 为高电平“1”。 ?求值阶段,只能有与GND 间的通路,无与VDD 间的,一旦放电,不可能再充电,只能等下次。 预充FET 求值FET

预充-求值动态CMOS 电路的工作原理 预充 预充 求值 输出只在此时有效 ) ,2,1(Xn X X F Y ???=当Clk =1时 Clk Out Clk =0时,输出为1,与输入无关

(整理)《数字逻辑电路》试题2.

一、选择题(每小题1.5分) 第一章: 1. 带符号位二进制数10011010的反码是( )。 A. 11100101 B. 10011010 C. 10011011 D. 11100110 2. 十进制数5对应的余3码是( )。 A. 0101 B. 1000 C. 1010 D. 1100 3. 二进制代码1011对应的格雷码是( )。 A. 1011 B. 1010 C. 1110 D. 0001 第二章: 1. 下列公式中哪一个是错误的? ( ) A. A A 0=+ B. A A A =+ C. B A )B A ('+'='+ D. )C A )(B A (BC A ++=+ 2. 下列各式中哪个是三变量A 、B 、C 的最小项? ( ) A. B A '' B. C B A +'+' C.ABC D. C B '+' 3. 下列函数中不等于A 的是( )。 A. A +1 B. A +A C. A +AB D. A (A +B ) 4. 在逻辑代数的加法运算中,1+1=( )。 A. 2 B. 1 C. 10 D. 0 5. A ⊕1=( )。 A. A B. 1 C. A ' D. 0 6. 含有A 、B 、C 、D 四个逻辑变量的函数Y=A+B+D 中所含最小项的个数是( )。 A. 3 B. 8 C. 14 D. 16 7. 下列函数中等于AB 的是( )。 A. (A +1)B B. (A +B )B C. A +AB D. A (AB ) 8. 为了将600份文件顺序编码,如果采用二进制代码,最少需要用( )位。 A. 3 B. 10 C. 1024 D. 600 9. 为了将600个运动员顺序编码,如果采用八进制代码,最少需要用( )位。 A. 3 B. 4 C. 10 D. 75 第三章:

第4章组合逻辑电路教案

第4章组合逻辑电路 一、教学目的: 本章主要介绍组合逻辑电路的特点、组合逻辑电路的分析方法和设计方法,以及加法器、编码器、译码器、数据选择器、数据比较器、奇偶校验器等常用组合逻辑电路的电路结构、工作原理和使用方法,最后介绍组合逻辑电路中的竞争-冒险。 二、教学题要 4.1 概述 尽管各种组合逻辑电路在功能上千差万别,但是它们的分析方法和设计方法有共同之处。掌握了分析方法,就可以识别任何一个给定的组合逻辑电路的逻辑功能;掌握了设计方法,就可以根据给定的设计要求设计出相应的组合逻辑电路。 4.1.1 组合逻辑电路的结构和特点 4.1.2 组合逻辑电路的分析方法 4.1.3 组合逻辑电路的设计方法 4.2 若干常用的组合逻辑电路 在数字系统设计中,有些逻辑电路是经常或大量使用的,为了使用方便,一般把这些逻辑电路制成中、小规模集成电路产品。在组合逻辑电路中,常用的集成电路产品有加法器、编码器、译码器、数据选择器、数据比较器及奇偶校验器等。下面分别介绍这些组合逻辑部件的电路结构、工作原理和使用方法。为了增加使用的灵活性,在多数中规模集成的组合逻辑电路上,都设置了附加的控制端。控制端既可以控制电路的工作状态(工作或禁止),又可作为输出信号的选通信号,还可以实现器件的扩展。合理地运用这些控制端,不仅能使器件完成自身的逻辑功能,还可以用这些器件实现其他组合逻辑电路,最大限度发挥电路的潜力。 4.2.1 算术运算电路 4.2.2 编码器 4.2.3 译码器 4.2.4 数据选择器 4.2.5数值比较器 4.2.6奇偶校验器 4.3 采用中规模集成部件实现组合逻辑电路 由于中规模集成电路的大量出现,许多逻辑问题可以直接选用相应的集成器件来实现,这样既省去繁琐的设计,又可以避免设计中带来的错误。中规模集成部件都具有与其名称相吻合的专用功能,但对于某些中规模集成电路来说,除了能完成自身的功能外,还可以用来实现组合逻辑电路。下面以译码器和数据选择器为例,介绍用中规模集成电路实现组合逻辑电路的方法。 4.3.1 用译码器实现组合逻辑电路 4.3.2 用数据选择器实现组合逻辑电路 4.4 组合逻辑电路的竞争—冒险现象 为了增加组合逻辑电路使用的可靠性,需要检查电路中是否存在竞争—冒险。如果发现有竞争—冒险存在,则应采取措施加以消除。 4.4.1 竞争—冒险现象及其成因

数电第二章习题教学内容

第二章 一、选择题 1.下列表达式中不存在竞争冒险的有 C D 。 A.Y =B +A B B.Y =A B +B C C.Y =A B C +A B D.Y =(A +B )A D 2.若在编码器中有50个编码对象,则要求输出二进制代码位数为B 位。 A.5 B.6 C.10 D.50 3.一个16选一的数据选择器,其地址输入(选择控制输入)端有 C 个。 A.1 B.2 C.4 D.16 4.下列各函数等式中无冒险现象的函数式有 D 。 A.B A AC C B F ++= B.B A BC C A F ++= C.B A B A BC C A F +++= D.C A B A BC B A AC C B F +++++= E.B A B A AC C B F +++= 5.函数C B AB C A F ++=,当变量的取值为 A C D 时,将出现冒险现象。 A.B =C =1 B.B =C =0 C.A =1,C =0 D.A =0,B =0 6.四选一数据选择器的数据输出Y 与数据输入X i 和地址码A i 之间的逻 辑表达式为Y = A 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 7.一个8选一数据选择器的数据输入端有 E 个。 A.1 B.2 C.3 D.4 E.8 8.在下列逻辑电路中,不是组合逻辑电路的有 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 9.八路数据分配器,其地址输入端有 C 个。 A.1 B.2 C.3 D.4 E.8 10.组合逻辑电路消除竞争冒险的方法有 A B 。 A. 修改逻辑设计 B.在输出端接入滤波电容 C.后级加缓冲电路 D.屏蔽输入信号的尖峰干扰 11.101键盘的编码器输出 C 位二进制代码。 A.2 B.6 C.7 D.8 12.用三线-八线译码器74L S 138实现原码输出的8路数据分配器,应 A B C 。 A.A ST =1,B ST =D ,C ST =0 B. A ST =1,B ST =D ,C ST =D C.A ST =1,B ST =0,C ST =D D. A ST =D ,B ST =0,C ST =0 13.以下电路中,加以适当辅助门电路, A B 适于实现单输出组合逻辑电路。

CMOS第七章 组合逻辑电路

第七章 组合逻辑电路
多路器和逆多路器 编码器和译码器 全加器

组合逻辑电路的一般形式
在数字系统中大量用到组合逻辑电路来执行运算和逻辑操作。 在数字系统中大量用到组合逻辑电路来执行运算和逻辑操作。组合逻 辑电路中不存在反馈回路,没有记忆功能,因此, 辑电路中不存在反馈回路,没有记忆功能,因此,组合逻辑电路的输出只 与当前的输入状态有关,而与电路过去的状态无关。 与当前的输入状态有关,而与电路过去的状态无关。
对于组合逻辑电路,若电路有m个输入 个输入x1,x2,……,xm,产 ★ 对于组合逻辑电路,若电路有 个输入 产 生n个输出信号y1,y2,……,yn, ★ 则输出与输入之间的关系可以表示为: 则输出与输入之间的关系可以表示为:Y=F(X) ( )
2

组合逻辑 IC设计的基本过程 设计的基本过程
根据电路功能的要求列出电路的真值表; 根据电路功能的要求列出电路的真值表; 根据真值表写出每个输出变量的逻辑表达式; 根据真值表写出每个输出变量的逻辑表达式; 通过逻辑化简找出适当的结构形式; 通过逻辑化简找出适当的结构形式; 画出逻辑图和电路图; 画出逻辑图和电路图; 根据电路性能的要求确定每个器件的参数; 根据电路性能的要求确定每个器件的参数; 通过模拟验证电路的功能和性能。 通过模拟验证电路的功能和性能。
3

一、多路器和逆多路器

多路器和逆多路器
多路器( ):通过控制信号从多个数据来源中选择一 多路器(MUX):通过控制信号从多个数据来源中选择一 ): 个传送出去。 个传送出去。 逆多路器( ):根据控制信号把一个数据送到多 逆多路器(DEMUX):根据控制信号把一个数据送到多 ): 个输出端中的某一个。 个输出端中的某一个。 约束条件:如果对 个数据进行 约束条件:如果对m个数据进行 选一, 个控制信号应满足: 选一 个控制信号应满足 m选一,则m个控制信号应满足:
控制信号的位数应满足: 控制信号的位数应满足:

第5章课后习题参考答案

第五章组合逻辑电路 1.写出如图所示电路的输出信号逻辑表达式,并说明其功能。 (a)(b) 解:(a)Y1ABC(判奇功能:1的个数为奇数时输出为1) Y2AB(AB)CABACBC(多数通过功能:输出与输入多数一致)(b)Y1(AB)A(AB)BABAB(同或功能:相同为1,否则为0)2.分析如图所示电路的逻辑功能 (a)(b)(c) 解:(a)Y 1ABAB(判奇电路:1的个数为奇数时输出为1) 0011 (b)Y2(((AA)A)A)(判奇电路:1的个数为奇数时输出为1) 0123 YAM 00 (c)Y 1 A M 1 (M=0时,源码输出;M=1时,反码输出) YAM 23 3.用与非门设计实现下列功能的组合逻辑电路。(1)实现4变量一致电路。 (2)四变量的多数表决电路 解:(1) 1)定变量列真值表:

ABCDYABCDY 0000110000 0001010010 0010010100 0011010110 010******* 010******* 0110011100 0111011111 2)列函数表达式:YABCDABC D ABCDABCD 3)用与非门组电路 (2)输入变量A、B、C、D,有3个或3个以上为1时输出为1,输人为其他状态时输出为0。 1)列真值表2)些表达式 3)用与非门组电路 4.有一水箱由大、小两台水泵ML和Ms供水,如图所示。水箱中设置了3个水位检测元

件A、B、C,如图(a)所示。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时水泵停止工作;水位低于C点而高于B点时Ms单独工作;水位低于B点而高于A点时ML单独工作;水位低于A点时 ML和Ms同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 解:(1)根据要求列真值表(b) (b)(a) (2)真值表中×对应的输入项为约束项,利用卡诺图化简(c)(d) (c)(d) (e) 得:MABC s MB L (ML、M S的1状态表示工作,0状态表示停止) (3)画逻辑图(e)

电子第七章 孟宪龙

1、组合逻辑电路根据需要可以加入负反馈。( ) 2、编码器任意时刻都只有一个输入有效,故编码器值允许一个输入端输出有效信号。( ) 3、译码器的功能是将二进制码还原成给定的信息符号。( ) 4、输出高电平有效的现实译码器应该连接共阳极的数码显示器。( ) 5、根据最简表达式设计的逻辑电路是最佳的组合逻辑电路。( ) 填空题 1、组合逻辑电路是由_________、门__________、门和_________门等几种门电路组合而成。它没有___________回路,它的输出直接由____________所决定 2、编码器的功能是把输入的信号转化为__________数码 3、常用的二-十进制集成译码器的型号有________、_________、________等。 常用的显示译码器型号有________、_________、________、_________等。 4、半导体数码管按内部发光二极管的接法不同,可分为________和_________两种。 5、8421BCD 编码器有_______个输入端,有________个输出端,所以也称__________编码 6、任意时刻组合逻辑电路的输出状态仅仅取决于______________,与电路______________。 7、常用的组合逻辑电路有______、______、______、____________等 8、组合逻辑电路一般的分析放大和步骤为:(1)根据逻辑电路图,____________写出输出逻辑函数表达式;(2) ____________,得到最简逻辑函数表达式;(3)根据最简逻辑函数表达式列出____________;(4)根据所列真值表,分析确定____________ 9、组合逻辑电路一般的设计方法和步骤为:(1)________________________;(2)根据时间的因果关系,列出____________;(3)按真值表写出逻辑函数表达式;(4)将逻辑函数表达式____________;(5)________________________ 10、3位二进制编码器有________个输入、________个输出,故也称为________编码器 11、一般编码器在任意时刻________编码;优先编码器允许同时输入________的信号,但电路只对________的输入信号编码,对其他输入信号不予考虑。 12、编码器在应用中突出的优点是可以将________的信号编码后,用________的信号传输线进行传输,________传输信号线的数量 13、74LS147芯片是一种常用的8421BCD 码集成有限编码器,它的________输入端优先级别最高;当输入621I I I 、、同时有效时,其输出0123Y Y Y Y =________________ 14、译码器是________的逆过程,译码器的作用就是将某种代码的原意“翻译”出来,目前译码器主要由集成们电路构成,按其功能可分为________和________ 15、n 个输入端的二进制译码器,有________个输出线,按其输入和输出的线数,二进制译码器可分为________译码器、________译码器和________译码器等。 16、二-十进制译码器也称为________译码器,它的功能是将输入的________码,译成10个高、低电平输出信号,因此也称为________译码器。 17、显示译码器作用是________________________________________,显示相应的十进制数字图形;常用的数码显示管有________、________和________等

第5章 典型组合逻辑电路

I I 4 5 7 I 1 6 2 I I I 0 3 I I I 9 8 I 图 P5.7 图P5.8 第5章 组合逻辑电路应用 习题5 5.1 设计一个10线-4线编码器,输出为8421BCD 码。 5.2 试用2片8线-3线优先编码器74148,设计一个10线-4线优先编码器。连接时允许附加必要的门电路。 5.3 试分析图P5.3所示电路的功能(74148为8线-3线优先编码器)。 5.4 分析图P5.4所示电路的功能。 5.5 用2片3线-8线译码器74138,组成4线-16线译码器。 5.6 某一个8421BCD 码七段荧光数码管译码电路的e 段部分出了故障,为使数码管能正确地显示0~9十种状态,现要求单独设计一个用与非门组成的e 段译码器。已知共阳极数码管如图P5.6所示。 5.7 分析图P5.7所示电路的功能(74148为8线-3线优先编码器)。 5.8 画出用两片4线-16线译码器74154组成5线-32译码器的接线图。图P5.8是74154的符号,S A 和S B 是两个控制端(亦称片选端),译码器工作时应使S A 和S B 同时为低电平,当输入信号A 3A 2A 1A 0为0000到1111共16种状态时,输出端从Y 0到Y 15依次给出低电平输出信号。 图P5. 4 图P5.6 图P5.3

5.9 设计一个编码转换器,将三位2进制码转换为循环码。 5.10 某医院的某层有6个病房和一个大夫值班室,每个病房有一个按扭,在大夫值班室有一个优先编码器电路,该电路可以用数码管显示病房的编码。各个房间按病人病情严重程度不同分类,1号房间病人病情最重,病情按房间号依次降低,6号房间病情最轻。试设计一个呼叫装置,该装置按病人的病情严重程度呼叫大夫,若两个或两个以上的病人同时呼叫大夫,则只显示病情最重病人的呼叫。 5.11 设计一个电话机信号控制电路。电路有I 0(火警)、I 1(盗警)和I 2(日常业务)三种输入信号,通过排队电路分别从Y 0、Y 1、Y 2输出,在同一时间只能有一个信号通过。如果同时有两个以上信号出现时,应首先接通火警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要求用集成门电路7400(每片含4个2输入端与非门)实现。 5.12 试用一片3线-8线译码器T3138,实现下列逻辑函数(可使用必要的门电路): (1)B A L =1 (2)B A AB L +=2 (3)C B A L ⊕⊕=3 5.13 用4路数据选择器实现下列函数: (1) ∑=)5,4,2,0(),,(1m C B A L (2) ∑= )7,5,3,1(),,(2m C B A L (3)∑=)7,5,2,0(),,(3m C B A L (4)∏= )3,2,0(),,(4M C B A L 5.14 用8路数据选择器实现下列函数: (1) ∑=)15,13,10,8,7,5,2,0(),,,(1m D C B A L (2) ∑= )12,10,9,5,4,3,0(),,,(2m D C B A L (3) C B AB C B A L +=),,(3 5.15 将四选一数据选择器,扩展为16选一数据选择器。 5.16 用3线-8线译码器74138和8选1数据选择器74151和少量与非门实现组合逻辑电路。当控制变量C 2C 1C 0=000时,F=0;C 2C 1C 0=001时,F=ABC ;C 2C 1C 0=010时,F=A+B+C ;C 2C 1C 0=011时,F=ABC ;C 2C 1C 0=100时,F=C B A ++;C 2C 1C 0=101时,F=C B A ⊕⊕;C 2C 1C 0=110时,F=AB+AC+BC ;C 2C 1C 0=111时,F=1。画出电路图。 5.17 分析图P5.17所示电路的工作原理,说明电路的功能。

第20章习题2门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 A B F 1F 2F 3 (a) (b)

答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B 20-0010、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 A.5 B.6 C.10 D.50

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

相关主题
文本预览
相关文档 最新文档