当前位置:文档之家› 数电实验3真

数电实验3真

数电实验3真
数电实验3真

深圳大学实验报告

课程名称:数字电子技术

实验项目名称:实验四全加器构成及测试学院:光电工程

专业:光电信息

指导教师:

报告人:刘恩源学号:2012170042 班级:2 实验时间:

实验报告提交时间:

1、了解全加器的实现方法。

2、掌握全加器的逻辑功能。

二、实验仪器:

1、4-2-3-2与或非门74S54 2片

2、六反相器74LS04 1片

三、实验原理:

1、用2片74LS54和1片74LS04组成下图所示逻辑电路。

图5.1 全加器

2、将A、B、CI接逻辑开关输出,F、CO接逻辑状态显示灯

3、按入或弹出逻辑开关,产生A、B、CI的8种组合,观测并记录F和CO的值。

四、实验提示

对与或非门而言,如果一个与门中的一条或几条输入引脚不被使用,则需将它们接高电平;如果一个与门不被使用,则需将此与门的至少一条输入引脚接低电平。

另外、IC的14脚接电源,7脚接地。

、实验接线图、真值表和逻辑表达式

1、实验接线图

图5.2是用2片4-3-2-2与或非门74S54和一片反相器74LS04组成的全加器接线图。图中K1、K2、K3是逻辑开关输出,LED0、LED1是逻辑状态指示灯。

图5.2 全加器实验接线图

五、数据处理:

2、全加器真值表

输入输出

A B CI F CO

0 0 0

0 0 1

0 1 0

0 1 1

1 0 0

1 0 1

1 1 0

1 1 1

3、全加器逻辑表达式

六、实验结论:

指导教师批阅意见:

成绩评定:

指导教师签字:

年月日备注:

数电实验报告:实验3-触发器

广东海洋大学学生实验报告书(学生用表) 实验名称 课程名称 课程号 学院(系) 专业 班级 学生姓名 学号 实验地点 实验日期 实验3:触发器逻辑功能测试及应用 一、实验目的 1、掌握集成触发器的逻辑功能及使用方法 2、熟悉触发器之间相互转换的方法 二、实验内容及步骤 1、测试双JK 触发器74LS112逻辑功能。 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74LS112双JK 触发器,是下降边沿触发的边沿触发器。JK 触发器的状态方程为Q * =J Q +K Q (1)JK 触发器74LS112逻辑电路引脚图如下: 图1 (2)测试复位、置位功能,将测试结果填入表1。 表1 (3)触发功能测试,按表2要求测试JK 触发器逻辑功能。 表2 GDOU-B-11-112

(4) 根据图 2逻辑图将JK 触发器分别连接成T 触发器和T ′触发器,并通过做实验进行验证。 注释:T 触发器的逻辑功能:当T =0时,时钟脉冲作用后,其状态保持不变;当T =1时,时钟脉冲作用后,触发器状态翻转。如果将T 触发器的T 端置“1”,即得T'触发器。在T'触发器的CP 端每来一个CP 脉冲信号,触发器的状态就翻转一次,故称之为反转触发器,广泛用于计数电路中。 图2 2、测试双D 触发器74LS74的逻辑功能 在输入信号为单端的情况下,D 触发器用起来最为方便,其状态方程为 Q * =D ,其输出状态的更新发生在CP 脉冲的上升沿,故又称为上升沿触发的边沿触发器,触发器的状态只取决于时钟到来前D 端的状态,D 触发器的应用很广,可用作数字信号的寄存,移位寄存,分频和波形发生等。 (1)D 触发器74LS74逻辑电路引脚图3所示。

汽车尾灯_数电自主实验报告

数电自主实验报告 实验名称汽车尾灯控制电路设计学号:姓名:班 (教师签名): 日期 一、实验任务 1、设计汽车尾灯控制电路; 2、进行各模块仿真实验,分析电路性能; 3、了解移位寄存器、计数器等的工作原理; 4、掌握74LS161、74LS194等芯片的使用、熟练multisim的使用。 二、实验设备 三、实验原理 (1)实验原理图 汽车尾灯控制电路原理图如图1所示。

图1中按下左上角A\B\C\D四个开关分别控制刹车Ss\停车St\左转弯SL\右转弯SR,对应着右边X4~X6、X7、X1~X3七个灯的状态。左下角的74LS161为四进制计数器,产生(0000,0001,0010,0011)四个拍;74LS194当S0S1=10时控制左灯X1~X3右移、S0S1=01时控制右灯X4~X6左移。 发现:开关模块比教材中复杂才能真正实现功能:刹车时中间灯不亮两边亮(且不管左右转弯状态)、紧急状态时所有灯全闪烁。 (2)74LS161分析 结果:74LS161确实四进制计数器,产生Q0Q1=00、10、01、11的循环,Q2控制每四个节拍产生一个脉冲,经反相器使复位端CLR清零一次。 发现:multisim中74LS161为下降沿触发,与教材不同,故需接反相器。

(3)整个系统仿真分析: 左转弯时检测如下。 分析:74LS161\74LS194的输出如图,确实能带动左灯X1~X3右移闪烁。 发现:194Q3瞬间脉冲电压也能点亮灯泡。 右转弯时检测结果如下。 分析:74LS161\74LS194的输出如图,确实能带动右灯X4~X6左移闪烁。

四、实验内容与步骤 1、左转弯:按下C键,左灯X1~X3右移闪烁。 2、右转弯:按下D键,右灯X4~X6左移闪烁。 3、紧急转态:同时按下C和D键,所有灯按一定频率闪烁。 发现:左右灯和中间的灯闪烁频率是不一样的。中间灯闪烁的更快。

第三次实验

第三次实验(4学时) 实验八 时序电路测试及研究 一、实验目的 1、掌握常用时序电路分析、设计及测试方法。 2、训练独立进行实验的技能。 二、实验原理 计数器是最典型的时序电路之一。它可对脉冲的个数进行计数。 计数器的种类繁多,分类方法也有多种,例如,按进位数值来分类,可分为二进制计数器、二十进制器等;按计数器中触发器翻转的次序来分类,可以分为同步计数器和异步计数器;按计数过程中计数器数字的增减来分类,可以分为加法计数器、减法计数器和可逆计数器等。 图8-1为异步二进制加法计数器,由JK 触发器构成。除第一级触发器由计数脉冲CP 直接驱动外,其它各级触发器的动作都要由其前一级触发器Q 的状态变化来确定,可见这些触发器的动作时间各异。计数器由D R 输入负脉冲置零后,计数脉冲从CP 端输入,第一个计数脉冲输入后,计数器状态均为Q 4Q 3Q 2Q 1 = 0001, 随着计数脉冲的继续输入,计数器的状态根据二进制码顺序依次递增,第十五个脉冲输入后,计数器状态为1111。第十六个脉冲输入后,计数器恢复起始状态0000,并在D R 端送出一个进位脉冲。如果继续输入脉冲,则重复上述过程。 异步二进制减法计数器的计数过程是每输入一个CP 脉冲,计数器的数值减1,例如设计数器原状态为0000,则输入第一个CP 脉冲后,变为1111。输入第二个CP 脉冲后,变为1110依次类推。 异步二进制减法计数的电路结构与加法计数器相似,不同的是级间改由前级的Q 与后级的CP 连接。 异步二 - 十进制加法计数器如图8-2所示。它由两片74LS73双J-K 触发器和一片74LS00二输入端四与非门组成。前九个计数脉冲输入后计数器的状态变化与异步二进制数据相同;当第十个脉冲输入后,计数器状态恢复为0000,并从D Q 端送出一个进位脉冲。 把移位寄存器的输出,以一定的方式反馈到串行输入端可构成寄存器型计数器,常用的寄存器型计数器有环形计数器。 图8-3是由74LS175四D 触发器组成的环形计数器。第四级的端与第一级的1D 端相接(反馈)。这种电路,在输入计数脉冲CP 操作下,其状态在1000,0100,0010,0001(有效状态)中循环,但工作时,必须先用启动脉冲(D S 、D R )将计数器置入有效状态。由于不能自启动,倘若由于电源故障可信号干扰,使电路进入非使用状态 (无效状态),计数器就无法恢复正常工作。 图8-4电路是具有自启动功能的环形计数器。无论原状态如何,经数个CP 脉冲作用后,电路总能进入有效循环计数。 三、实验仪器及材料 1、双踪示波器 2、器件 74LS73/74LS112 双J-K 触发器 2片

数字电路实验报告

数字电路实验报告 姓名:张珂 班级:10级8班 学号:2010302540224

实验一:组合逻辑电路分析一.实验用集成电路引脚图 1.74LS00集成电路 2.74LS20集成电路 二、实验内容 1、组合逻辑电路分析 逻辑原理图如下:

U1A 74LS00N U2B 74LS00N U3C 74LS00N X1 2.5 V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V GND 图1.1组合逻辑电路分析 电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。 真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 表1.1 组合逻辑电路分析真值表 实验分析: 由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。 2、密码锁问题: 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。

试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下: U1A 74LS00N U2B 74LS00N U3C 74LS00N U4D 74LS00N U5D 74LS00N U6A 74LS00N U7A 74LS00N U8A 74LS20D GND VCC 5V J1 Key = Space J2 Key = Space J3 Key = Space J4 Key = Space VCC 5V X1 2.5 V X2 2.5 V 图 2 密码锁电路分析 实验真值表记录如下: 实验真值表 A B C D X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 1 1 1 0 1 表1.2 密码锁电路分析真值表 实验分析: 由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。由此可见,该密码锁的密码ABCD 为1001.因而,可以得到:X1=ABCD ,X2=1X 。

数电实验三综述

湘潭大学实验报告 课程名称数学逻辑与数字电路实验名称时序电路实验——计数器和移位寄存器_ 页数 6 专业计算机科学与技术班级_ 二班_ 学号2014551442 姓名肖尧实验日期_ 2016/5/14_ 一、实验目的 1.验证同步十六位计数器的功能。 2.设计一个8位双向移位寄存器,理解移位寄存器的工作原理,掌握串入/并出端口控制的描述方法。 3.进一步熟悉Quartus II的Verilog HDL文本设计流程,掌握组合电路的设计仿真和硬件测试。 4.初步掌握Quartus II基于LPM宏模块的设计流程与方法,并由此引出基于LPM模块的许多其他实用数学系统的自动设计技术。 二、实验要求 1.用Quartus II的Verilog HDL进行计数器的设计与仿真 2.用LPM宏模块设计计数器。 3.用Quartus II的Verilog HDL进行8位双向移位寄存器设计 4.在实验系统上进行硬件测试,验证这两个设计的功能。 5.写出实验报告。 三、实验原理 计数器能记忆脉冲的个数,主要用于定时、分频、产生节拍脉冲及进行数字运算等。加法计数器每输入一个CP脉冲,加法计数器的计数值加1.十六进制计数即从0000一直计数到1111;当计数到1111时,若再来一个CP脉冲,则回到0000,同时产生进位1。 同步十六进制计数器设计采用if-else语句对计数器的输出分别进行赋值,能实现对输入脉冲的计数,并具有使能和异步清零功能。 移位寄存器不仅具有存储代码的功能,而且在移位脉冲作用下,还有左移、右移等功能。设计一个8位二进制双向移位寄存器,能实现数据保持、右移、左移、并行置入和并行输出等功能。移位寄存器有三种输入方式:8位并行输入、1位左移串行输入、1位右移串行输入;有一种输出方式:8位并行输出。双向移位寄存器工作过程如下: (1)当1位数据从左移串行输入端输入时,首先进入内部寄存器最高位,并在并行输出口最高位输出,后由同步时钟的上升沿触发向左移位。 (2)当1位数据从右移串行输入端输入时,首先进入内部寄存器最低位,并在并行输出口最低位输出,后由同步时钟的上升沿触发向右移位。 四、实验内容 1.利用Quartus II完成计数器、8位双向移位寄存器的文本编辑输入和仿真测试,给出仿真波形。 2. 用LPM宏模块设计计数器 3.给他们进行引脚锁定,然后硬件下载测试。 五、实验环境与设备 Quartus II以及进行硬件测试的实验箱。 六、实验代码设计(含符号说明)

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电实验报告—四位减法器

数电实验报告—四位减法器 实验报告 学生姓名:班级学号:指导老师: 38033 9 一、实验名称: 1.进一步学习quartusII的基本功能和使用方法,完成四位减法器原理图输入和文本输入、编译校验及功能仿真 2.进一步学习quartusII的基本功能和使用方法,完成 yf(a,b,c)!((a&b)|c)所对应逻辑电路设计及功能仿真。 二、实验学时:4学时 三、实验目的:熟悉Quartus II基本功能和使用方法,掌握原理图输入、文本输入的步骤。 四、实验内容: 完成四位减法器原理图输入和文本输入、编译校验及功能仿真;完成 yf(a,b,c)!((a&b)|c)所对应逻辑电路设计及功能仿真。 五、实验原理:数字逻辑电路中各种门电路的功能和使

用方法及quartusII的运用。 六、实验步骤: 1)原理图输入方法:通过本部分重点学习元器件的放置、连线、电源、地的表示,标号的使用,输入、输出的设置,以及各种元件库的使用等。 ①创建文件②创建元器件③设置输入输出④添加连接线:将选定期间及设置好的输入输出按照设计好的原理图进行正确连线。 2)文本输入方式学习verilog语言的扩展文件名位.v,verilog 文件名必须与实体文件名保持一致,文件必须放在一个工程中。 ①创建verilog HDL源程序文件②用verilog HDL进行二选一数据选择器逻辑功能描述 设计编译校验 ①建立仿真波形文件②添加仿真测试点③添加输入端仿真信号④开始仿真 七、实验结果: A.四位减法器原理图: 文本: 波形图: B.完成yf(a,b,c)!((a&b)|c)所对应逻辑电路设计及功能仿真。原理图: 波形图:

数电实验报告1-数电实验报告实验一

实验一门电路逻辑功能及测试 一、实验目得 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路得引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机就是否正常,然后选择实验用得集成电路,按自己设计得实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1、1置位,分别测出电压及逻辑状态。(表1、1)

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。 (2)将电平开关按表1、2置位,将结果填入表中。 表1、2 3、逻辑电路得逻辑关系

(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分 别填入表1、3﹑表1、4。 (2)写出上面两个电路得逻辑表达式。 表1、3 Y=A ⊕B 表1、4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间得测量 用六反相器(非门)按图1、5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门得平均传输延迟时间得tpd 值 : tpd =0、2μs/6=1/30μs 5、利用与非门控制输出。 选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S对输出脉冲得控制作用: 一端接高有效得脉冲信号,另一端接控制信号。只有控制信号端为高电平时,脉冲信号才能通过。这就就是与非门对脉冲得控制作用。 6.用与非门组成其她门电路并测试验证 (1)组成或非门。 用一片二输入端与非门组成或非门 Y = A+ B = A ? B 画出电路图,测试并填表1、5 中。 表1、5 图如下: (2)组成异或门 ① 将异或门表达式转化为与非门表达式。 A ⊕B={[(AA)'B]'[A( B B)']}' ② 画出逻辑电路图。 ③ 测试并填表1、6。表1、6

数电实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在 频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交替” 和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示方式, 当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描速率”(t/div)

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

数电实验第二次实验报告

实验二数据选择器应用 学号161271008 一、实验目的: 1.通过实验的方法学习数据选择器的电路结构和特点。 2.掌握数据选择器的逻辑功能和它的测试。 3.掌握数据选择器的基本应用。 二、实验仪器: 三、实验原理: 1.数据选择器 数据选择器(multiplexer)又称为多路开关,是一种重要的组合逻辑部件,它可以实现从多路数据传输中选择任何一路信号输出,选择的控制由专列的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 本实验采用的逻辑器件为TTL 双极型数字集成逻辑电路74LS153,它有两个4 选1,外形为双列直插,引脚排列如图2-1 所示,逻辑符号如图2-2 所示。其中D0、D1、D2、D3 为数据输入端,Q 为输出端,A0、A1 为数据选择器的控制端(地址码),同时控制两个选择器的数据输出,S 为工作状态控制端(使能端),74LS153 的功能表见表2-1。 数据选择器有一个特别重要的功能就是可以实现逻辑函数。现设逻辑函数F(X,Y)=∑(1,2),则可用一个4 选1 完成,根据数据选择器的定义:Q (A1,A0)=A1A0D0+ A1A0D1+ A1A0D2+ A1A0D3,令A1=X,A0=Y,1S=0,1D0=1D3=0,1D1=1D2=1,那么输出Q=F。如果逻辑函数的输入变量数超过了数据选择器的地址控制端位数,则必须进行逻辑函数

降维或者集成芯片扩展。例如用一块74LS153 实现一个一位全加器,因为一位全加器的逻辑函数表达式是: S1(A,B,CI)=∑(1,2,4,7) CO(A,B,CI)=∑(3,5,6,7) 现设定A1=A,A0=B,CI 为图记变量,输出1Q=S1,2Q=CI,由卡诺图(见图2-3,图2-4)得到数据输入: 1D0=CI,1D1=CI,1D2=CI,1D3=CI,2D0=0,2D1=CI,2D1=CI,2D3=1,由此构成逻辑电路. 需要指出的是用数据选择器实现逻辑函数的方法不是唯一的,当逻辑函数的输入变量数较多时,可比较多种方法取其最优实现。 四、实验内容: 1.验证74LS153 的逻辑功能按表2-1 所列测试,特别注意所测芯A1、A0 哪一个是高位S 端是否低电平有效当芯片封锁时,出是什么电平。 记录:

数电实验报告三更新

实验报告 指导老师: 评定教师: 评定成绩: 课程名称: 数字电子技术实验 实验类型: 设计型 实验地点: 实验项目名称:中规模集成计数器及其应用 实验日期: 2020 年 6 月 18 日 学生姓名: 班级: 电气4班 学号: 同组学生: 一、 实验目的 1.了解集成计数器的种类; 2.学习计数器的使用方法及功能扩展; 3. 灵活使用计数器完成N 位二进制数的设计。 二、实验设备与器材: 三、芯片功能测试 1. 4线-7段译码/驱动器74LS48 1)集成电路外引线图、逻辑符号及功能图(见图11.3) 2)引脚功能说明 数据输入端D 、C 、B 、A :输入码范围0000—1001。 灯测试端 LT :显示器测试功能,低电平有效。当=“0”时,显示器显示字符“8”。 灭灯端 BI /RBO :显示器熄灭功能,低电平有效。当BI /RBO =“0”时,显示器熄灭。 表11.2 显示译码器功能测试表 ×:任意状态 LT

Q A Q B Q C Q D A B D C CLR LD ET EP RCO K1 K2K3K4 K5K6K7A B D C 译码、数显单元 BIN/7-SEG a g 图14.2 二进制同步计数器74LS160功能测试图 1 1 0 0 1 0 1 1 1 0 1 1 0 1 2 1 1 0 0 1 1 1 1 1 1 1 0 0 1 3 1 1 0 1 0 0 1 0 1 1 0 0 1 1 4 1 1 0 1 0 1 1 1 0 1 1 0 1 1 5 1 1 0 1 1 0 1 0 0 1 1 1 1 1 6 1 1 0 1 1 1 1 1 1 1 0 0 0 0 7 1 1 1 0 0 0 1 1 1 1 1 1 1 1 8 1 1 1 1 1 1 1 1 1 1 9 1 1 1010——1111 1 观察译码输出状态 灭零端 RBI :最高位、最低位无效“0”熄灭功能,低电平有效。当RBI =“0”时,且 D 、C 、B 、A 同时输入“0”(欲显示十进制数“0”时)显示器熄灭。 段驱动信号输出端a 、b 、c 、d 、e 、f 、g :内设2K 上拉电阻,无需外接限流电阻,输出高电平,驱动共阴显示器。 2、计数功能测试图(见图14.2)。 表14.2 参数测试表

数字电子技术实验练习内容

数字电子技术实验练习内容 实验二 TTL与非门的应用 一、实验内容 1.用五个二输入与非门设计一个半加器。 2.用二输入与非门设计一个三开关控制同一灯泡电路,要求三个开关能够独立控制灯泡的亮灭。 3.用一个四输入与非门和三个二输入与非门设计一个电路,实现函数∑ ,9,8,7,6,5,4( 10 , ) ABCD (m F。要求只有原变量输入、没有反变量输 , 14 =) 11 , 13 , 12 入。 4.用九个二输入与非门设计一个一位全加器。 二、思考题 1.TTL门电路的闲置输入端应如何处理? 2.写出影响TTL与非门扇出系数的两个重要参数的概念。 3.TTL门电路的电压传输特点是什么? 实验三 CMOS与非门的应用 一、实验内容 1.用CD4011与非门设计一个同或门电路和一个异或门电路。 2.利用一块CD4011设计一个楼上、楼下开关的控制逻辑电路来控制楼梯上的路灯,使之在上楼前,用楼下开关打开电灯,上楼后,用楼上开关熄灭电灯;或者在下楼前,用楼上开关打开电灯,下楼后,用楼下开关熄灭电灯。 3.密码锁共有三个按钮,当三个按钮未按下或第一个按钮单独按下时,锁既不打开也不报警;只有当三个按钮同时按下、或者第一个第二个按钮同时按下、或者第一个第三个按钮同时按下时,锁才能被打开,当按下按钮不属于上述状况时,将发出报警信息。要求:用两块CD4011设计逻辑电路,使用的与非门数量最少,以达到最佳设计方案。 二、思考题 1.CMOS集成电路或门、或非门的闲置输入端如何处理? 2.CMOS集成电路的电压传输特性有什么特点? 3.CMOS集成与非门、与门的闲置输入端如何处理? 实验五组合逻辑电路的设计 一、实验内容 1.用74LS86和74LS00设计四开关控制同一灯泡电路,要求四个开关能够独立控制灯泡的亮灭。 2.用74LS86、CD4081、CD4071设计一个一位全加器电路。 3.用异或门、与门设计一个半加器电路。 4.用异或门和与非门设计一个一位全加器电路。 二、思考题 1.74LS54与或非门的闲置端如何处理?

数电实验三加法器

实验三一.实验目的 1.掌握全加器的工作原理与逻辑功能。 2.掌握全加器的应用。 二.实验设备及器材 数字电路实验箱稳压电源 74LS00 CD4008B 三.实验原理 全加器是中规模组合逻辑器件,它实现二进制数码的加法运算。 表1 全加器真值表 CD4008B为四位加法器,其逻辑符号如图2,A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数,CI为进位输入端,CO为进位输出端。 图2

全加器主要用于数值运算,i位全加器可以实现两个i位二进制数的加法运算。另外,全加器也可以实现组合逻辑函数,如用全加器实现四位二进制数向BCD 码的转换。 四.实验内容 1.按照全加器真值表,利用逻辑电平产生电路及逻辑电平指示电路验证CD4008B的逻辑功能,画出测试电路图。 A3、A2、A1、A0和B3、B2、B1、B0为两个四位二进制数:加数和被加数,CIN为进位输入,S3、S2、S1、S0为输出的和,CON为进位输出端。 2.连接 B/BCD码转换电路,验证其实验结果是否与真值表一致。 二进制码转换为BCD码时,9以前即0000—1001,二进制数B和BCD码二者相等。但九以后,即1010—1111,需要给B加6(0110)才能和BCD码在数值上相等。因此利用四位全加器实现转换时,以四位二进制数作为被加数,而加数在四位二进制数为0000—1001时为0000,为1001—1111时为0110,这样就可实现

B/BCD 的转换。 图3 B/BCD码转换电路 验证得其实验结果与真值表一致 3.设计电路,完成1位十进制数的相加运算,使实现7+9=,6+4=,和3+2=,并用数码管显示电路。 可得图四真值表: 加数二进制 码被加数二进 制数码 二进制的和十进制的和进位 0010 0011 0101 5 0 0100 0110 1010 10 0 0111 1001 0000 16 1 图四真值表 实验结果:数码管显示电路图如下

数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现 ——基于Basys2开发板电路设计及仿真1.实验目的 1.了解有关FPGA的基本知识以及在电路设计的应用; 2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能; 4.在完成电路设计的过程中积累实际工程开发的经验; 5.培养对于新型实验器材的理解和学习能力; 6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。 2.总体设计方案或技术路线 1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识; 2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现; 4.对于编写程序进行调试,修改编写过程中出现的语法错误; 5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件; 7.将bit文件烧写到开发板中,在硬件中实现预定功能; 8.对整个实验过程进行总结,分析输出效果并寻找改进方法。 3.实验电路图 由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。 而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。 由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了 RTL级原理图:

数电实验实验报告

[键入文档标题] 实验一组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路74LS20集成电路 四2输入与非门双4输入与非门 二.实验内容 1.实验一 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD是什么? ABCD接逻辑电平开关。 最简表达式为:X1=AB’C’D 密码为:1001

A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 0 1 1 1 1 1 0 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片,和使用仿真软件来设计和构造逻辑电路来求解。 实验二组合逻辑实验(一)半加器和全加器 一.实验目的 1.熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1.复习用门电路设计组合逻辑电路的原理和方法步骤。 2.复习二进制数的运算。 3.用“与非门”设计半加器的逻辑图。 4.完成用“异或门”、“与或非”门、“与非”门设计全加器的逻辑图。 5.完成用“异或”门设计的3变量判奇电路的原理图。 三.元件参考 依次为74LS283、 74LS00、74LS51、 74LS136 其中74LS51:Y= (AB+CD)’, 74LS136: Y=A⊕B(OC门)四.实验内容 1.用与非门组成半加器,用或非门、与或非门、与非门组成全加器(电路自拟) 半加器 被加数A i0 1 0 1 0 1 0 1 加数B i0 0 1 1 0 0 1 1 前级进位C i-10 0 0 0 1 1 1 1 和S i0 1 1 0 1 0 0 1

数电实验报告(含实验内容)

数电实验报告(含实验内容) 班级:专业:姓名:学号:实验一用与非门构成逻辑电路 一、实验目的 1、熟练掌握逻辑电路的连接并学会逻辑电路的分析方法 2、熟练掌握逻辑门电路间的功能变换和测试电路的逻辑功能 二、实验设备及器材 KHD-2 实验台 集成 4 输入2 与非门74LS20 集成 2 输入4 与非门74LS00 或CC4011 三、实验原理 本实验用的逻辑图如图 2-1 所示 图1-1 图1-1 四、实验内容及步骤 1、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-1中,并说明该电路的逻辑功能。 2、用与非门实现图1-1电路,测试其逻辑功能,将结果填入表1-2中,并说明该电路的逻辑功能。 3、用与非门实现以下逻辑函数式,测试其逻辑功能,

将结果填入表1-3中。 Y(A,B,C)=A’B+B’C+AC 班级:专业:姓名:学号:五、实验预习要求 1、进一步熟悉 74LS00、74LS20 和CC4011 的管脚引线 2、分析图 1-1 (a)、的逻辑功能,写出逻辑函数表达式,并作出真值表。 六、实验报告 1、将实验数据整理后填入相关的表格中 2、分别说明各逻辑电路图所实现的逻辑功能 A B C Z A B C Y 表1-1 表1-2 A B C Y 表1-3 班级:专业:姓名:学号:实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计与测试方法 2、进一步熟悉常用集成门电路的逻辑功能及使用 二、实验设备及器材 KHD-2 实验台 4 输入2 与非门74LS20 2 输入4 与非门74LS00 或CC4011

三、实验原理 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路的设计方式。设计组合电路的一般步骤如图2-1 所示。 图 2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 四、实验内容及步骤 1、用与非门设计一个数码转换电路,将一个三位二进制码转换成3 位格雷码。即当输入信号为三位二进制代码时其输出为相应的3 位格雷码。要求: 1)分析逻辑功能,作出真值表,写出逻辑表达式。 班级:专业:姓名:学号: 2)简化逻辑表达式,画出逻辑图 3)按逻辑图连接逻辑电路并测试其逻辑功能。 2、用与非门设计一个一位的数值比较器,即比较两个1 位的二进制数A、B 的大小,假定当A>B 时,1 号灯亮,AB 时,1 号灯亮,A

数电实验报告(一)

数字电路实验设计报告

实验名称:组合逻辑研究(一)——QuartusⅡ软件的使用 实验目的: 1.学会使用QuartusⅡ软件,运用该软件设计电路原理图。 2.学会用语言设计电路原理图,并会对设计图进行功能和时序 仿真。 3.学会从QuartusⅡ软件中下载原理图到FPGA,测试电路功能。实验仪器: 1.计算机1台 2.数字电路实验板1块 实验内容: 1.利用软件,用原理图输入的方法实现三变量多数表决器电 路,进行功能和时序仿真,记录仿真波形。 2.利用QuartusⅡ软件,用VHDL文本输入的方法实现一位全加 器电路,进行功能和时序仿真,并下载入FPGA,在试验箱上 测试其电路功能。 设计过程及仿真结果: 1.三变量多数表决器原理图

功能仿真波形 时序仿真波形 2.一位全加器的VHDL语言描述 entity add1 is port( A,B,C: in bit; D,S: out bit ); end add1; architecture one of add1 is begin S<=A XOR B XOR C; D<=((A XOR B) AND C) OR (A AND B); end one;

一位全加器功能真值表 验证其功能 功能仿真波形 时序仿真波形

实验结果分析: (1)由仿真结果可以看出,三变量多数表决器电路原理图及一位全加器的VHDL语言描述正确。 (2)由仿真结果可知,功能仿真时对信号的输入没有延迟,而时序仿真时,当多个输入信号在同一时刻处同时发生变化时,此时电路存在竞争,会有冒险,故从仿真图上可以看到毛刺。

相关主题
文本预览