当前位置:文档之家› 波形发生器的设计

波形发生器的设计

波形发生器的设计
波形发生器的设计

专业课程设计报告题目:波形发生器的设计

姓名:汤姝

专业:通信工程

班级学号:09042304

同组人:09042308 杨勇

指导教师:刘敏

南昌航空大学信息工程学院

20 12年 6 月 28 日

专业 课程设计任务书

2011-2012学年 第 2 学期 第 17 周- 20 周

摘要

题目

波形发生器的设计

内容及要求

用CPLD 可编程模块产生下列信号(特殊芯片:EPM570T100C5)

(1)采用VHDL 编写相关程序,能产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波;

(2)用户能够选择输出所需其中一种波形。

进度安排

17周:查找资料,进行系统方案设计; 18周:软件的分模块调试;

19周:系统联调;

20周:设计结果验收,报告初稿的撰写。 学生姓名: 汤姝 指导时间:第17~20周 指导地点:E 楼607室 任务下达 2012年 6 月4 日

任务完成

2012年 6月 29 日

考核方式 1.评阅 √□ 2.答辩 √□ 3.实际操作√□ 4.其它√□ 指导教师

刘敏

系(部)主任

付崇芳

各种各样的信号是通信领域的重要组成部分,其中递增斜波、递减斜波、阶梯波、正弦波、方波、三角波等是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生器。为了试验、研究方便,研制一种灵活使用、功能齐全、使用方便的信号源是十分必要的。

本次设计的波形发生器是一种能够产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波的信号发生器。设计可以通过硬件和软件两种方法实现,本次实验中通过Quartus II软件编程软件的方法,运用VHDL语言与原理图混合设计的方法,VHDL语言描述底层模块,原理图设计方法设计顶层原理图文件。实验分模块设计,便于调试及错误的查找。

实验运用VHDL语言编写程序,实现了各波形的产生,并且最后通过6选1多路开关的设计,实验了对需要波形的选择。

关键词:波形发生器 VHDL Quartus II 原理图多路开关

目录

第一章波形发生器设计内容及要求 (1)

1.1 设计内容 (1)

1.2 设计要求 (1)

第二章波形发生器系统框图及工作原理与设计 (2)

2.1 系统框图 (2)

2.2 设计平台与仿真工具 (2)

2.3 工作原理与设计 (2)

第三章系统调试与分析 (11)

3.1 系统调试 (11)

3.2 结果分析 (11)

第四章结论 (18)

参考文献 (19)

附录一原理图 (20)

附录二程序清单 (21)

第一章波形发生器设计内容及要求

1.1 设计内容

实验设计波形发生器是一种能够产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波的电路。实验中通过Quartus II软件编程,运用VHDL语言与原理图混合设计的方法,VHDL语言描述底层模块,原理图设计方法设计顶层原理图文件。实验分模块设计,在完成一个模块时即对其进行调试修改,防止了最后模块综合时存在调试困难的情况出现。如果是对元件例化相对较熟练的同学,也可采用元件例化的方法进行程序的编写。但在此过程中,应特别注意VHDL语言的运用,以防语法错误导致影响实验结果。实验最后将程序下载到芯片EPM570T100C5中,供实际运用。

1.2 设计要求

用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5)

1、采用VHDL编写相关程序,能产生递增斜波、递减斜波、阶梯波、正弦波、方

波、三角波;

2、用户能够选择输出所需其中一种波形。

第二章 波形发生器系统框图及工作原理与设计

2.1 系统框图

波形发生器由各波形发生模块及6选1多路开关构成,时钟信号对其作用产生输出波形信号,系统框图如图2.1所示。

6选1多路开关

三角波

方波

正弦波阶梯波递减波形递增波形复位信号

时钟信号

输出

CPLD

图2.1 系统框图

2.2 设计平台与仿真工具

Quartus II 软件包是MAX+plus II 的升级版本,其提供了一个完整高效的设计环境,非常适合具体的设计需求。提供了方便的设计输入方式、快速的编译和直接易懂的器件编程,属于EDA 工具。

EDA 技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下”的设计方法,这种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构的设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体电路的网表,其对应得物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早起发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。

本实验中是多个模块的相互联系作用,采用了VHDL 与原理图混合设计的方式进行设计。各模块设计最后,为了能在图形编辑器中调用元件,需要为该元件创建一个元件图形符号。

2.3 工作原理与设计

2.3.1 递增波形

递增波形的设计运用的是VHDL 语言中if 语句对其进行0-255的序列递增来实现的,每等待一个时钟上升沿,计数器加1,故输出信号周期为256倍时钟周期。程序主要语句如下所示: if tmp="11111111" then tmp:="00000000"; else

tmp:=tmp+1; end if;

其中,定义clk 为输入时钟信号端口,reset 为输入复位信号端口程,q1为8位二进制输出信号端口。

程序设计流程图如下图所示:

开始

复位信号是否为0?等待时钟上升沿

计数器是否为11111111?计数器赋值00000000

计数器加1

输出

计数器赋值00000000

结束

Y

N

Y

N

图2.2 递增波形设计流程图

2.3.2 递减波形

递减波形的设计运用的是VHDL 语言中if 语句对其进行255-0的序列递减来实现的,与递增波形正好是相反的。每等待一个时钟上升沿,计数器减1,故输出信号周期为256倍时钟周期。程序主要语句如下所示: if tmp="00000000" then

tmp:="11111111"; else

tmp:=tmp-1; end if;

其中,时钟信号clk ,复位信号reset , 8位二进制输出信号端口q2。 程序设计流程图如下图所示:

开始

复位信号是否为0?

等待时钟上升沿

计数器是否为00000000

计数器赋值11111111

计数器减1

输出计数器赋值11111111

结束

Y

N

Y

N

图2.3 递减波形设计流程图

2.2.3 阶梯波

阶梯波运用VHDL 语言中if 语句设计,程序中每计数16次对输出信号进行一次序列递增,从而得到周期为输入时钟递增信号波形周期16倍的输出阶梯波信号。在设计原理上是与递增波形类似的。程序中,阶梯波阶梯增量为16,可以根据需要通过改变递增量来得到需要波形。因为在计数器11110000是加16刚好为00000000,故程序省略了 if tmp ="11111111" then tmp:="00000000" ;

语句,并且在计数器增量设置时,增量应为n 2,这样才能使阶梯波各个阶梯高度是一致的。

程序主要语句如下所示: if reset='0' then tmp:="00000000";

elsif clk'event and clk='1' then tmp:=tmp+16; end if;

其中,时钟信号clk ,复位信号reset , 8位二进制输出信号端口q5。 程序设计流程图如下图所示:

开始

结束

输出计数器加16

等待时钟上升沿计数器赋值00000000

复位信号是否为0?

Y

N

图2.4 阶梯波设计流程图

2.1.4 三角波

三角波的设计运用的是VHDL 语言中if 语句先对其进行0-255的序列递增,之后再对递增到255的序列进行255-0的序列递减来实现的,正好是递增波形与递增波形相结合得到。程序语句设置内部接点信号a 作为递减信号,判断波形处于递增或者是递减阶段。当a 为0时,递增,计数器加1;a 为1时,递减,计数器减1。 程序主要语句如下所示: if a='0' then

if tmp="11111110" then tmp:="11111111"; a:='1'; else

tmp:=tmp+1;

end if; else

if tmp="00000001" then tmp:="00000000"; a:='0'; else

tmp:=tmp-1; end if; end if;

其中,时钟信号clk ,复位信号reset , 8位二进制输出信号端口q3。 程序设计流程图如下图所示:

结束

开始

Y

N

N

Y

N Y

输出计数器是否为00000001?

计数器是否为11111110?递减信号是否为0?

复位信号是否为0?

计数器减1

计数器赋值00000000递减信号赋值0

计数器加1

计数器赋值11111111递减信号赋值1

等待时钟上升沿

计数器赋值00000000

Y

N

图2.5 三角波设计流程图

2.1.5 正弦波

正弦波的设计运用的是VHDL 语言中if 语句与case 语句,由于正弦波是模拟信号波形,而VHDL 语言程序输出的是数字信号波形。因此,实验中对信号进行等间距采样,等效正弦信号波形。程序采样点为128个,等效为近似的正弦波形。

程序主要语句如下所示: if tmp =127 then tmp:=0; else

tmp:=tmp+1; end if; case tmp is

when 00=>d<=255;when 01=>d<=255;when 02=>d<=255;when 03=>d<=254;

……

when 124=>d<=254;when 125=>d<=254;when 126=>d<=255;when 127=>d<=255; when others=>null; end case; end if;

其中,时钟信号clk ,复位信号clr , 8位二进制输出信号端口d 。 程序设计流程图如下图所示:

输出赋值0

N

Y

N

Y

计数器是否为127?

复位信号是否为0?

查表输出计数器加1

计数器赋值0

等待时钟上升沿

开始

结束

图2.6 正弦波设计流程图

2.1.6 方波

阶梯波运用VHDL语言中if语句设计,程序中每计数64次对输出信号进行一次翻转,从而得到周期为输入时钟信号周期128倍的输出方波信号。程序设置内部节点信号a作为输出判断信号,计数器计数0-63后,对输出判断信号取反,实现0、1的变化。

if cnt<63 then

cnt:=cnt+1;

else

cnt:=0;

a<=not a;

end if;

其中,a为0 是输出低电平,a为1时输出高电平。

if a ='1' then

q6<=255;

else

q6<=0;

end if;

其中,时钟信号clk,复位信号clr, 8位二进制输出信号端口q6。

程序设计流程图如下图所示:

结束

开始

N

Y

N Y

N

Y

输出输出赋值0

输出赋值255

等待时钟上升沿

计数器赋值0输出判断信号取反

计数器加1

等待clk 上升沿

输出判断信号赋值0

输出判断信号赋值1?

计数器<63?

reset 信号是否为0?

图2.7 方波设计流程图

2.1.6 6选1多路开关

6选1多路开关运用VHDL 语言中case 语句设计。 程序主要语句如下所示: case a is

when "000"=>q<=z1; when "001"=>q<=z2; when "010"=>q<=z3; when "011"=>q<=z4; when "100"=>q<=z5; when "101"=>q<=z6;

when others=>q<=null;

end case;

其中,时钟信号clk,输入选择sel,8位二进制输出信号端口q。

程序设计流程图如下图所示:

开始

sel输入选择

输入选择对

应输出q

结束

图2.7 6选1多路开关设计流程图

第三章系统调试与分析

3.1 系统调试

3.1.1 调试方法

实验运用的是VHDL语言与原理图混合设计方法,因此有程序调试和原理图调试两部分。实验步骤如下:

1、新建工程、VHDL文档输入设计模块子程序

2、调试各个子程序是否存在语法错误的问题

3、对各子模块进行波形仿真,验证输出是否正确

4、各子模块生成图元文件

5、新建工程、原理图文档,将各子模块文件夹下的文档拷贝到新建工程中

6、根据系统设计框图将各个模块图元文件连成原理图

7、检验原理图是否正确

8、最后原理图仿真,检查波形图是否正确

3.1.2 调试故障及解决方法

在整个实验调试过程中,最主要出现的问题是对VHDL语言的不熟悉,导致在程序编写过程中出现了不少语法错误导致影响实验结果的问题。其次是软件运用不熟练使得影响实验进度,有时也会影响了实验调试。针对实验中出现了问题,总结了以下一些解决方法和注意事项:

1、程序书写过程中要注意程序的层次,便于出错时对错误的查找;

2、程序输入时应仔细认真,以免个别字母的错误输入影响实验结果;

3、分模块设计分模块调试,便于对错误的纠正;

4、项目名必须与顶层设计文件名相同;

5、程序命名过程中,以一定意义的字母命名,便于之后读程序;

6、在原理图设计上,注意总线的书写。

设计中主要用的是VHDL语言中的if语句和case语句,因此对这两种语句的运用要十分熟悉。并且其不区分大小写,所以在命名时应注意此问题。

3.2 结果分析

3.2.1 递增波形

通过对程序语句的调试过程,得到以下波形图:

图3.1 递增波形图

图3.2 递增模块图

由波形图可以看出,在每个时钟上升沿,输出加1,从波形图上我们也可以看到,输出由00000000增至11111111,从而得到了递增波形,并且其周期为时钟周期的256倍。因此,从调试得到的波形图可知,程序设计实现了递增波形的产生功能。

3.2.2 递减波形

递减波形程序调试,得到了以下的波形图:

图3.3 递减波形图

图3.4 递减模块图

由波形图可以看出,在每个时钟上升沿,输出减1,从波形图上我们也可以看到,输出由11111111减到00000000,与递增波形正好是相反的,其周期与递增波形周期相等,为时钟周期的256倍。因此,从调试得到的波形图可知,程序设计实现了递减波形的产生功能。

3.2.3 三角波

三角波程序调试至无语法错误后,仿真得到以下波形图:

图3.5三角波波形图

图3.6 三角波模块图

通过对波形图的分析,每个时钟上升沿计数器加1或者减1,有一个最大值11111111。输出由00000000递增至11111111后再递减至00000000,从而得到了三角波。从图上我们可以看到输出的递增与递减,程序实现了三角波的产生功能。3.2.4 正弦波

对正弦波程序的调试,得到了以下波形图:

图3.7 正弦波波形图

图3.8 正弦波模块图

程序根据正弦波采样取点,得到了以上仿真波形。与递增波形不同的是,正弦波设计中由于取点并不按一定规律得到,无法采用循环来实现,相对于前面波形的设计较难一些。因此,程序中利用case语句,通过查表的方法来实现输出正弦波。

3.2.5 阶梯波

阶梯波调试得到波形图如下所示:

图3.9 阶梯波波形图

图3.10 阶梯波模块图

与之前设计的递增波形比较我们不难发现,阶梯波与递增波形是类似的,其区别就在于,阶梯波阶梯增量为16,而递增波形的阶梯增量是1。并且在阶梯波的设计中应注意,阶梯增量应设置为n2,只有这样才能保证阶梯的每一层的阶梯高度是一样的。实验设计程序实现了阶梯波的产生。

3.3.6 方波

方波调试得到波形图如下图所示:

图3.11 方波波形图

图3.12 方波模块图

由上图我们可以知道,程序的调试实现了方波的产生。我们的输如时钟信号就是一个方波信号,因此,在方波发生器的设计上要相对简单。实验是通过设置的计数周期,之后对输出信号进行翻转,从而得到所需的波形。

3.3.7 6选1多路开关

由于要实现用户对所需波形的选择功能,因此,实验设计了一个6选1多路开关。通过对用户输入否认判断,从而输出用户所需的信号波。调试得到如下波形图:

图3.13 6选1多路开关

图3.2 6选1模块图

通过对部分输出波形的观察,在输入信号为000时,选择输出z1的输入信号,满足多路开关的功能实现。

3.2.8 原理图

实验运用的是VHDL语言和原理图混合设计的方法,因此,在所以程序调试成功后,生成每个子模块的元件图形。再根据设计框图,将个子模块连接,得到系统原理图。原理图调试得到如下波形:

简易波形发生器设计报告

电子信息工程学院 硬件课程设计实验室课程设计报告题目:波形发生器设计 年级:13级 专业:电子信息工程学院学号:201321111126 学生姓名:覃凤素 指导教师:罗伟华 2015年11月1日

波形发生器设计 波形发生器亦称函数发生器,作为实验信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。 波形发生器一般是指能自动产生方波、三角波、正弦波等电压波形的电路。产生方波、三角波、正弦波的方案有多种,如先产生正弦波,再通过运算电路将正弦波转化为方波,经过积分电路将其转化为三角波,或者是先产生方波-三角波,再将三角波变为正弦波。本课程所设计电路采用第二种方法,利用集成运放构成的比较器和电容的充放电,实现集成运放的周期性翻转,从而在输出端产生一个方波。再经过积分电路产生三角波,最后通过正弦波转换电路形成正弦波。 一、设计要求: (1) 设计一套函数信号发生器,能自动产生方波、三角波、正弦波等电压波形; (2) 输出信号的频率要求可调; (3) 根据性能指标,计算元件参数,选好元件,设计电路并画出电路图; (4) 在面包板上搭出电路,最后在电路板上焊出来; (5) 测出静态工作点并记录; (6) 给出分析过程、电路图和记录的波形。 扩展部分: (1)产生一组锯齿波,频率范围为10Hz~100Hz , V V 8p -p =; (2)将方波—三角波发生器电路改成矩形波—锯齿波发生器,给出设计电路,并记录波形。 二、技术指标 (1) 频率范围:100Hz~1kHz,1kHz~10kHz ; (2) 输出电压:方波V V 24p -p ≤,三角波V V 6p -p =,正弦波V V 1p -p ≥; (3) 波形特性:方波s t μ30r < (1kHz ,最大输出时),三角波%2V <γ ,正弦波y~<2%。 三、选材: 元器件:ua741 2个,3DG130 4个,电阻,电容,二极管 仪器仪表: 直流稳压电源,电烙铁,万用表和双踪示波器 四、方案论证 方案一:用RC 桥式正弦波振荡器产生正弦波,经过滞回比较器输出方波,方波在经过积分器得到三角波。

利用Labview实现任意波形发生器的设计

沈阳理工大学课程设计专用纸No I

1 引言 波形发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技术要求的电信号设备。随着现代电子技术的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高,频率转换速度快且频率转换时输出波形相位连续等。可见,为适应现代电子技术的不断发展和市场需求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。 波形发生器的核心技术是频率合成技术,主要方法有:直接模拟频率合成、锁相环频率合成(PLL),直接数字合成技术(DDS)。 传统的波形发生器一般基于模拟技术。它首先生成一定频率的正弦信号,然后再对这个正弦信号进行处理,从而输出其他波形信号。早期的信号发生器大都采用谐振法,后来出现采用锁相环等频率合成技术的波形发生器。但基于模拟技术的传统波形发生器能生成的信号类型比较有限,一般只能生成正弦波、方波、三角波等少数的规则波形信号。随着待测设备的种类越来越丰富,测试用的激励信号也越来越复杂,传统波形发生器已经不能满足这些测试需要,任意波形发生器(AWG)就是在这种情况下,为满足众多领域对于复杂的、可由用户自定义波形的测试信号的日益增长的需要而诞生的。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器输出频率较低。目前的任意波形发生器普遍采用DDS(直接数字频率合成)技术。基于DDS技术的任意波形发生器(AWG)利用高速存储器作为查找表,通过高速D/A转换器对存储器的波形进行合成。它不仅可以产生正弦波、方波、三角波和锯齿波等规则波形,而且还可以通过上位机编辑,产生真正意义上的任意波形。

简易波形发生器设计

摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator,PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。 关键词:中央处理器;随机存储器;只读存储器

引言:一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。 1设计概述 1.1 课程设计的目的 通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。 1.2 设计的内容、要求 设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。 对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。

课程设计——波形发生器要点

1.概述 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。本课程采用采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。

2.设计方案 采用RC正弦波振荡电路、电压比较器、积分电路共同组成的正弦波—方波—三角波函数发生器的设计方法。先通过RC正弦波振荡电路产生正弦波,再通过电压比较器产生方波,最后通过积分电路形成三角波。文氏桥振荡器产生正弦波输出,其特点是采用RC串并联网络作为选频和反馈网络,其振荡频率f=1/2πRC.改变RC的值,可得到不同的频率正弦波信号输出。用集成运放构成电压比较器,将正弦波变换成方

3. 设计原理 3.1正弦波产生电路 正弦波由RC 桥式振荡电路(如图3-1所示),即文氏桥振荡电路产生。文氏桥振荡器具有电路简单、易起振、频率可调等特点而大量应用于低频振荡电路。正弦波振荡电路由一个放大器和一个带有选频功能的正反馈网络组成。其振荡平衡的条件是AF =1以及ψa+ψf=2n π。其中A 为放大电路的放大倍数,F 为反馈系数。振荡开始时,信号非常弱,为了使振荡建立起来,应该使AF 略大于1。 放大电路应具有尽可能大的输入电阻和尽可能小的输出电阻以减少放大电路对选频特性的影响,使振荡频率几乎仅决定于选频网络,因此通常选用引入电压串联负反馈的放大电路。正反馈网络的反馈电压U f 是同相比例运算电路的输入电压,因而要把同相比例运算电路作为整体看成电路放大电路,它的比例系数是电压放大倍数,根据起振条件和幅值平衡条件有 31 1≥+ =R Rf Av (Rf=R2+R1//D1//D2) 且振荡产生正弦波频率 Rc f π210= 图中D1、D2的作用是,当Vo1幅值很小时,二极管D1、D2接近开路,近似有Rf =9.1K +2.7K =11.8K ,,Av=1+Rf/R1=3.3>=3,有利于起振;反之当Vo 的幅值较大时,D1或D2导通,Rf 减小,Av 随之下降,Vo1幅值趋于稳定。

基于51单片机的波形发生器的设计讲解

目录 1 引言 (1) 1.1 题目要求及分析 (1) 1.1.1 示意图 (1) 1.2 设计要求 (1) 2 波形发生器系统设计方案 (2) 2.1 方案的设计思路 (2) 2.2 设计框图及系统介绍 (2) 2.3 选择合适的设计方案 (2) 3 主要硬件电路及器件介绍 (4) 3.1 80C51单片机 (4) 3.2 DAC0832 (5) 3.3 数码显示管 (6) 4 系统的硬件设计 (8) 4.1 硬件原理框图 (8) 4.2 89C51系统设计 (8) 4.3 时钟电路 (9) 4.4 复位电路 (9) 4.5 键盘接口电路 (10) 4.7 数模转换器 (11) 5 系统软件设计 (12) 5.1 流程图: (12) 5.2 产生波形图 (12) 5.2.1 正弦波 (12) 5.2.2 三角波 (13) 5.2.3 方波 (14) 6 结论 (16) 主要参考文献 (17) 致谢...................................................... 错误!未定义书签。

1引言 1.1题目要求及分析 题目:基于51单片机的波形发生器设计,即由51单片机控制产生正弦波、方波、三角波等的多种波形。 1.1.1示意图 图1:系统流程示意图 1.2设计要求 (1) 系统具有产生正弦波、三角波、方波三种周期性波形的功能。 (2) 用键盘控制上述三种波形(同周期)的生成,以及由基波和它的谐波(5次以下)线性组合的波形。 (3) 系统具有存储波形功能。 (4) 系统输出波形的频率范围为1Hz~1MHz,重复频率可调,频率步进间隔≤100Hz,非正弦波的频率按照10次谐波来计算。 (5) 系统输出波形幅度范围0~5V。 (6) 系统具有显示输出波形的类型、重复频率和幅度的功能。

波形发生器课程设计报告

课程设计报告书 波形发生器 学院电子与信息学院 专业班级 学生姓名 学生学号 指导教师 课程编号 课程学分1 起始日期2017 波形发生器 一、选题背景 波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、矩形波的函数波形发生器。 二、方案论证 1、设计题目要求 1.1、功能要求 同时三通道输出,采用正弦波、矩形波、三角波的级联结构; 电源由稳压电源供给; 1.2、指标要求: 输出电压要求正弦波Vp-p>10V、矩形波Vp-p>10V、三角波Vp-p>4V; 输出波形频率范围为100Hz—2kHz;

通带内输出电压幅度峰峰值误差不大于5%; 矩形波占空比可调整,调整范围:10%~90%; 2、总体设计方案 2.1设计思路 根据模拟电子技术基础课程,可通过RC桥式正弦波振荡电路产生正弦波,通过比较器变换成矩形波,再通过积分电路变换成三角波;或者同过滞回比较器和RC电路组成的矩形波发生电路产生矩形波,通过积分电路变换成三角波,再用滤波法变换成正弦波。 2.2设计方案 满足上述设计功能可以实施的方案很多,现提出以下几种方案: 2.2.1方案一 ①原理框图 图2.2.1方案一原理框图 ②基本原理 通过RC桥式正弦波振荡电路,产生正弦波,改变电阻R和电容C的值实现频率可调;通过单限比较器,产生矩形波,接入参考电压,通过改变与参考电压串联电阻的阻值,实现占空比可调;通过积分电路,产生三角波。 2.2.2方案二 ①原理框图

运放组成的波形发生器电路设计

运放组成的波形发生器电 路设计 This model paper was revised by the Standardization Office on December 10, 2020

运放组成的波形发生器电路设计、装配与调试 1. 运放组成的波形发生器的单元电路 运放的二个应用:⑴ 线性应用-RC 正弦波振荡器 ⑵ 非线性应用-滞回比较器 ⑴ RC 正弦波振荡器 RC 桥式振荡电路如图3-9所示。 图3-9 RC 桥式振荡电路 RC 桥式振荡电路由二部分组成: ① 同相放大器,如图3-9(a )所示。 ② RC 串并联网络,如图3-9(b )所示。 或图3-9(c )所示,RC 串并联网络与同相放大器反馈支路组成桥式电路。 同相放大器的输出电压uo 作为RC 串并联网络的输入电压,而将RC 串并联网络的输出电压作为放大器的输入电压,当f=f 0时, RC 串并联网络的相位移为零,放大器是同相放大器,电路的总相位移是零,满足相位平衡条件,而对于其他频率的信号,RC 串并联网络的相位移不为零,不满足相位平衡条件。由于RC 串并联网络在 f=f 0 时的传输系数F =1/3,因此要求放大器的总电压增益Au 应大于3,这对于集成运放组成的同相放大器来说是很容易满足的。由R 1、R f 、V 1、V 2及R 2构成负反馈支路,它与集成运放形成了同相输入比例运算放大器。 只要适当选择R f 与R 1的比值, 就能实现Au>3的要求。其中,V1、V2和R 2是实现自动稳幅的限幅电路。 1 1R R A f u + =RC f π210=

① 振荡原理 RC 桥式振荡电路如图3-9所示。根据自激振荡的条件,φ=φa+Φf=2πn ,其中RC 串并联网络作为反馈电路,当f=fo 时,φf=0°,所以放大器的相移应为φa=0°,即可用一个同相输入的运算放大器组成。又因为当f=fo 时,F=1/3,所以放大电路的放大倍数A ≥3。起振时A>3,起振后若只依靠晶体管的非线性来稳幅,波形顶部容易失真。为了改善输出波形,通常引入负反馈电路。其振荡频率由RC 串并联网络决定,图3-9(c )为RC 桥式振荡电路的桥式画法。RC 串并联网络及负反馈电路中的Rf+'2 R 、R1正好构成电桥四臂,这就是桥式振荡器名称的由来。在RC 串并联网络中, 取C C C R R R ====2121, 当虚部为零,即)/(11221C R C R ωω=时,3/1=F ② 稳幅原理 V 1、V 2和R 2是实现自动稳幅的限幅电路。V 1、V 2仅一只导通,导通的二极管和R 2并联等 效电阻为'2R 。根据同相放大器的放大倍数计算公式:1 ' 2 1R R R A f ++=可知输出电压幅度与 '2 R 有关。 )1()1(1 11111// 1 2 121211222211 222 2122 22 2221 11C R C R j R R C C C R j R C j R C R j R Z Z Z U U F C R j R C j R Z C j R Z o f ωωωωωωωω-+++ =++ ++= +==+= =+=?? ?

多种波形发生器的设计与制作

课题三 多种波形发生器的设计与制作 方波、三角波、脉冲波、锯齿波等非正弦电振荡信号是仪器仪表、电子测量中最常用的波形,产生这些波形的方法较多。本课题要求设计的多种波形发生器是一种环形的波形发生器,方波、三角波、脉冲波、锯齿波互相依存。电路中应用到模拟电路中的积分电路、过零比较器、直流电平移位电路和锯齿波发生器等典型电路。通过对本课题的设计与制作,可进一步熟悉集成运算放大器的应用及电路的调试方法,提高对电子技术的开发应用能力。 1、 设计任务 设计并制作一个环形的多种波形发生器,能同时产生方波、三角波、脉冲波和锯齿波,它们的时序关系及幅值要求如图3-3-1所示。 图3-3-1 波形图 设计要求: ⑴ 四种波形的周期及时序关系满足图3-3-1的要求,周期误差不超过%1±。 ⑵ 四种波形的幅值要求如图3-3-1所示,幅值误差不超过%10±。 ⑶ 只允许采用通用器件,如集成运放,选用F741。

要求完成单元电路的选择及参数设计,系统调试方案的选取及综合调试。 2、设计方案的选择 由给定的四种波形的时序关系看:方波决定三角波,三角波决定脉冲波,脉冲波决定锯齿波,而锯齿波又决定方波。属于环形多种波形发生器,原理框图可用3-3-2表示。 图3-3-2 多种波形发生器的方框图 仔细研究时序图可以看出,方波的电平突变发生在锯齿波过零时刻,当锯齿波的正程过零时,方波由高电平跳变为低电平,故方波发生电路可由锯齿波经一个反相型过零比较器来实现。三角波可由方波通过积分电路来实现,选用一个积分电路来完成。图中的u B电平显然上移了+1V,故在积分电路之后应接一个直流电平移位电路,才能获得符合要求的u B波形。脉冲波的电平突变发生在三角波u B的过零时刻,三角波由高电平下降至零电位时,脉冲波由高电平实跳为低电平,故可用一个同相型过零比较器来实现。锯齿波波形仍是脉冲波波形对时间的积分,只不过正程和逆程积分时常数不同,可利用二极管作为开关,组成一个锯齿波发生电路。由上,可进一步将图3-3-2的方框图进一步具体化,如图3-3-3所示。 图3-3-3 多种波形发生器实际框图 器件选择,设计要求中规定只能选用通用器件,由于波形均有正、负电平,应选择由正、负电源供电的集成运放来完成,考虑到重复频率为100Hz(10ms),故选用通用型运放F741(F007)或四运放F324均可满足要求。本设计选用F741。其管脚排列及功能见附录三之三。

波形发生器设计实验报告

一、实验目的 (1)熟悉555型集成时基电路结构、工作原理及其特点。 (2)掌握555型集成时基电路的基本应用。 (3)掌握由555集成型时基电路组成的占空比可调的方波信号发生器。 二、实验基本原理 555电路的工作原理 555集成电路开始是作定时器应用的,所以叫做555定时器或555时基电路。但后来经过开发,它除了作定时延时控制外,还可用于调光、调温、调压、调速等多种控制及计量检测。此外,还可以组成脉冲振荡、单稳、双稳和脉冲调制电路,用于交流信号源、电源变换、频率变换、脉冲调制等。由于它工作可靠、使用方便、价格低廉,目前被广泛用于各种电子产品中,555集成电路内部有几十个元器件,有分压器、比较器、基本R-S触发器、放电管以及缓冲器等,电路比较复杂,是模拟电路和数字电路的混合体。 555芯片管脚介绍 555集成电路是8脚封装,双列直插型,如图2(A)所示,按输入输出的排列可看成如图2(B)所示。其中6脚称阈值端(TH),是上比较器的输入;2脚称触发端(TR),是下比较器的输入;3脚是输出端(Vo),它有O和1两种状态,由输入端所加的电平决定;7脚是放电端(DIS),它是内部放电管的输出,有悬空和接地两种状态,也是由输入端的状态决定;4脚是复位端(MR),加上低电平时可使输出为低电平;5脚是控制电压端(Vc),可用它改变上下触发电平值;8脚是电源端,1脚是地端。

用555定时器组成的多谐振荡器如图所示。接通电源后,电容C2被充电,当电容C2上端电压Vc 升到2Vcc/3时使555第3脚V0为低电平,同时555内放电三极管T 导通,此时电容C2通过R1放电,Vc 下降。当Vc 下降到Vcc/3时,V0翻转为高电平。电容器C2放电所需的时间为 2ln 12??=C R t pL ( 1-1) 当放电结束时,T 截止,Vcc 将通过R1,R2,R3向电容器C2充电,Vc 由Vcc/3 上升到2Vcc/3所需的时间为 22)321(7.02ln )321(C R R R C R R R t pH ++=++= (1-2) 当Vc 上升到2Vcc/3时,电路又翻转为低电平。如此周而复始,于是,在电路的输出端就得到一个周期性的矩形波。电路的工作波形如图4,其中的震荡频率为 : f=1/(tpL+tpH )=1.43/(2R1+R2+R3) C2 (1-3) 三、实验设计目标 波形发生器是建立在模拟电子技术基础上的一个设计性实验,它是借助综合测试板上的555芯片和一片通用四运放324芯片,以及各种电阻、电感、电容等基本元器件,从而设计制作一个频率可变的同时输出脉冲波、锯齿波、正弦波Ⅰ、正弦波Ⅱ的波形产生电路,其借助于计算机软件multisim 仿真以及电路板硬件调

简易波形发生器的设计

目录 第一章单片机开发板 (1) 1.1 开发板制作 (1) 1.1.1 89S52单片机简介 (1) 1.1.2 开发板介绍 (2) 1.1.3 89S52的实验程序举例 (3) 1.2开发板焊接与应用 (4) 1.2.1开发板的焊接 (4) 1.2.2开发板的应用 (5) 第二章函数信号发生器 (7) 2.1电路设计 (7) 2.1.1电路原理介绍 (7) 2.1.2 DAC0832的工作方式 (9) 2.2 波形发生器电路图与程序 (10) 2.2.1应用电路图 (10) 2.2.2实验程序 (11) 2.2.3 调试结果 (15) 第三章参观体会 (16) 第四章实习体会 (17) 参考文献 (18)

第一章单片机开发板 1.1 开发板制作 1.1.1 89S52单片机简介 图1.1 89s52 引脚图 如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。 各功能部件的介绍: 1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。 2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。 3)中断系统:具有5个中断源,2级中断优先权。 4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。 5)串行口:1个全双工的串行口,具有四种工作方式。 6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。 7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。 8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。这四个端口的功能不完全相同。 A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用; B、P1口是一个准双向并行口,作通用并行I/O口使用; C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用; D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。 控制引脚介绍: 1)电源:单片机使用的是5V电源,其中正极接40引脚,负极(地)接20引脚。 2)时钟引脚XTAL1、XTAL2时钟引脚外接晶体与片内反相放大器构成了振荡器,它提供单片机的时钟控制信号。时钟引脚也可外接晶体振荡器。 振蒎电路:单片机是一种时序电路,必须提供脉冲信号才能正常工作,在单片机内部已集成了振荡器,

函数波形发生器课程设计报告

课程设计报告 学生姓名:学号: 学院:电气工程学院 班级: 题目: 函数波形发生器的设计 指导教师:职称: 年月日

一. 设计要求 函数波形发生器 基本要求: (1)用运算放大器和分立元件实现,生成方波、三角波、矩形波 (2)波形的幅值、频率可调 (3)用运算放大器和分立元件实现正弦波(拓展) 二. 设计原理及框图 图1 方波、三角波、正弦波、锯齿波、矩形波信号发生器的原理框图 原理: 1.该电路通过电压比较器即可组成方波信号发生器。 2.然后经过积分电路产生三角波,通过改变方波的占空比不仅可以得到锯齿波, 还可得到额外的矩形波。 3.三角波通过低通滤波电路来实现正弦波的输出。 电压比较器 方波 占空比可调 积分电路 锯齿波 积分电路 三角波 低通发生器 正弦波 通过四综示波器将三角波、方波、锯齿波、矩形波、正弦波显示出来 矩形波

三.器件说明 类型规格数量备注 电阻20KΩ 1 R1 10KΩ 3 R2、R3、R4 5KΩ 1 R5 510Ω 2 R11、R12 滑动变阻器50KΩ 1 R6 20 KΩ 2 R7、R8 5MΩ 1 R9 100KΩ 1 R10 集成运放3554AM 2 U1、U2 电容240nF 1 C1 2.2uF 2 C2、C3 开关单刀双掷开关 1 J1 普通二极管1N4148 1 D3 稳压二极管1N4731A 2 D1、D2 示波器四综示波器 1 XSC1

四.设计过程 4.1方波——三角波设计电路原理 图2 方波-三角波函数发生器电路 参数的计算为: 1.方波接入示波器的A通道,三角波接入示波器的B通道。 2.将比较器的输出电平稳定在±5V,选用IN4731(4.3V),其Uo=±(4.3+0.7)=±5V。 3.可变电阻R7、R8用来改变电阻比值以改变方波和三角波的输出幅值。取R2为10kΩ,则R1为20kΩ,需要改变幅值时再使用可变电阻。 4.f0需在10Hz到100Hz的范围内以10倍频程变化,则电路用电容C1来实现10倍频程变化,用R=R5+R6来实现每个频程内的f0的连续变化,设R5为5k Ω,则R6约为50kΩ,计算f0从10Hz到100Hz时电路中的电容C1有: ,

波形发生器的设计全解

正 文 1 选题背景 波形发生器又名信号源,广泛应用于电子电路、自动控制和科学试验等领域。雷达、通信、宇航、遥控遥测技术和电子系统等领域都随处可见波形发生器的应用。如今作为电子系统心脏的信号源的性能很大程度上决定了电子设备和系统的性能的提高,因此随着电子技术的不断发展,现今对信号源的频率稳定度、频谱纯度和频率范围以及信号波形的形状提出越来越高的挑战。 1.1指导思想 利用NE555构成多谐振荡器产生方波,根据LM324输出的锯齿波分别通入低通滤波器和高通滤波器就可以输出正弦波Ⅰ、正弦波Ⅱ。 1.2 方案论证 方案一:使用NE555芯片构成多谐振荡器,输出方波,通过锯齿波发生电路产生锯齿波,然后通过一个KHz f H 10=的低通滤波器,通过滤波产生一次,8KHz 到10KHz 的正弦波,然后再让锯齿波通过一个24KHz~30KHz 的带通滤波器,输出三次正弦波。其中滤出三次谐波的理论依据是,由于锯齿波是一个关于t 的周期函数,并且满足狄里赫莱条件:在一个周期内具有有限个间断点,且在这些间断点上,函数是有限值;在一个周期内具有有限个极值点;绝对可积。 方案二:使用功放构成文森桥式震荡电路,产生出8KHz~10KHz 的正弦波。接着是用NE555芯片,搭建出施密特触发电路,产生脉冲波输出;将脉冲波分别输入一个KHz f H 10=的低通滤波器和24KHz~30KHz 的带通滤波器电路中,产生一次和三次正弦波。 最初方案设计的大体思路在方案一和方案二之间犹豫不决,于是将两个电路的大体电路都进行了简单的设计,发现方案二存在很多的问题很难解决。 问题一:如果使用文森桥式震荡器产生正弦波,改变震荡频率就需要改变RC 常数,要同时改变两个R (在实际电路中,同时改变两个电容的值是很复杂的,而且这样也无法得到一个8KHZ~10KHz 的连续的频率),需要双滑动变阻器并且要保证滑动变阻器改变的值完全相同,有一定困难。 问题二:NE555芯片搭建出来的是一个简单的施密特触发器,输入正弦波之后,输出的脉冲波的占空比是不可以调整的,不满足实验要求的占空比可调的条件。要是施密特触发器产生的脉冲波的占空比可调会是该电路进一步复杂化。 问题三:LM324芯片的功放不够,由于有Ω600负载电阻的限制,输出波形的峰峰值不能简单的通过电阻的分压来实现。 鉴于方案二存在的问题能以解决,我们就确定选择方案一的整体思路进行方案的设计。 1.3 基本设计任务 用555 定时器和四运放LM324 设计并制作一个频率可变的、能够同时输出脉冲波、

DAC0832波形发生器课程设计实验报告1

DAC的输出控制 班级: 1221201 专业:测控技术与仪器 姓名: xxxxx 学号: xxxxx 指导老师:周伟 东华理工大学 2015年1月12日

目录 第1章系统设计方案 (2) 1.1 设计思路 (2) 1.2 方案比较与选择 (2) 第2章系统硬件设计……………………………………………………………………….2. 2.1 主控制器电路 (2) 2.2 数模转换电路 (3) 第3章系统软件设计…………………………………………………………………….. .6 3.1 系统整体流程………………………………………………………………………….. .6 3.2 数模转换程序………………………………………………………………………….. .6 第4章系统调试 (8) 4.1 proteus的调试 (8) 第5章结论与总结 (11) 5.1 结论 (11) (系统总体设计与完成做一个总结,是客观的,主要包括:设计思路,设计过程,测试结果及完善改进的方向。) 5.2 总结 (11) (这是一个主观的总结,谈谈自己收获和不足等方面的内容。)

第1章系统设计方案 1.1 设计思路 (一)、课设需要各个波形的基本输出。如输出矩形波、锯齿波,正弦波。这些波形的实现的具体步骤:正弦波的实现是非常麻烦的。它的实现过程是通过定义一些数据,然后执行时直接输出定义的数据就可以了。然而为了实现100HZ的频率,终于发现,将总时间除了总步数,根据每步执行时间,算出延时时间,最终达到要求,然后建一个表通过查表来进行输出,这样主要工作任务就落到了建表的过程中。这样做的好处在于,查表所耗费的时钟周期相同,这样输出的点与点之间的距离就相等了,输出的波形行将更趋于完美,当然更让我们感到的高兴的是它输出波形的频率将近达到了100赫兹,能够满足我们设计的扩展要求了。而三角波,则每次累加1,当达到初值时,每次累减1,算出延时时间,也就达到要求了,矩形波和锯齿波类似。 (二)、这次做的三种波形可以相互转换,这个实现起来找了很多人最终发现,在每次循环之初进行扫描,而在每个中断入口处,对中断优先级进行设定,最终达到设计目的。 1.2 方案比较与选择 方案一:采用模拟电路搭建函数信号发生器,它可以同时产生方波、三角波、正弦波。 但是这种模块产生的不能产生任意的波形(例如梯形波),并且频率调节很不方便。 方案二:采用锁相式频率合成器,利用锁相环,将压控振荡器(VCO)的输出频率锁定 在所需频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且电路复杂。 方案三:使用集成信号发生器发生芯片,例如AD9854,它可以生成最高几十MHZ的波形。 但是该方案也不能产生任意波形(例如梯形波),并且价格昂贵。 方案四:采用AT89C51单片机和DAC0832数模转换器生成波形,加上一个低通滤波器, 生成的波形比较纯净。它的特点是可产生任意波形,频率容易调节,频率能达到设计的500HZ 以上。性能高,在低频范围内稳定性好、操作方便、体积小、耗电少。 经比较,方案四既可满足课程设计的基本要求又能充分发挥其优势,电路简单,易控制,性价比高,所以采用该方案. 第2章系统硬件设计 2.1 主控制器电路 89C52可编程并行接口芯片有三个输入输出端口,即A口、B口和C口,对应于引脚PA7~PA0、PB7~PB0和PC7~PC0。其内部还有一个控制寄存器,即控制口。通常A口、B口作为输入输出的数据端口。C口作为控制或状态信息的端口,它在方式字的控制下,可以分成4位的端口,每个端口包含一个4位锁存器。它们分别与端口A/B配合使用,可以用作控制信号输出或作为状态信号输入。 89C52可编程并行接口芯片工作方式说明:

波形发生器设计单片机课程设计

广东石油化工学院单片机原理及应用技术课程设计 题目:波形发生器的设计 班级:505团队 团队成员: 学号:

目录 一、设计任务及团队分工说明 (1) 二、总体设计及需求分析 (3) 三、硬件选型及电路设计 ................................. 错误!未定义书签。 四、软件设计 ..................................................... 错误!未定义书签。 五、结论.................................................................. 错误!未定义书签。 六、设计心得.......................................................... 错误!未定义书签。 七、致谢.................................................................. 错误!未定义书签。参考文献.................................................................. 错误!未定义书签。

二、总体设计及需求分析 1.实验目的: ①掌握数/模转换的基本原理及编程方法; ②掌握D/A转换芯片DAC0832的结构特点、工作原理及使用方法; ③掌握利用串行口扩展I/O口的方法; 2.实验要求:实验目的 ①. 设计一款能够产生3种以上波形的波形发生器; ②. 设计波形选择按钮; ③.LED或LCD显示波形代号(如 1为正弦波,2为方波……); ④.能够同时输出两种波形 ⑤.能够记录一段时间的波形 3.程序流程图 程序的主流程图如下:

波形发生器课程设计

1.设计题目:波形发生电路 2.设计任务和要求: 要求:设计并用分立元件和集成运算放大器制作能产生方波和三角波波形的波形发生器。 基本指标:输出频率分别为:102H Z 、103H Z ;输出电压峰峰值V PP ≥20V 3.整体电路设计 1)信号发生器: 信号发生器又称信号源或振荡器。按信号波形可分为正弦信号、函数(波形)信号、脉冲信号和随机信号发生器等四大类。各种波形曲线均可以用三角函数方程式来表示,如三角波、锯齿波、矩形波(含方波)、正弦波。通过模拟电子技术设计的波形发生器是一个不需要外加输入信号,靠自身振荡产生信号的电路。2)电路设计: 整体电路由RC振荡电路,反相输入的滞回比较器和积分电路组成。 理由:a)矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分; b)产生振荡,就是要求输出的两种状态自动地相互转换,所以电路中必须引入反馈; c)输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。 RC振荡电路:即作为延迟环节,又作为反馈电路,通过RC充放电实现输出状态的自动转换。 反相输入的滞回比较器:矩形波产生的重要组成部分。 积分电路:将方波变为三角波。 3)整体电路框图: 为实现方波,三角波的输出,先通过 RC振荡电路,反相输入的滞回比较器得到方波,方波的输出,是三角波的输入信号。三角波进入积分电路,得出的波形为所求的三角波。其电路的整体电路框图如图1所示:

图1 4)单元电路设计及元器件选择 a ) 方波产生电路 根据本实验的设计电路产生振荡,通过RC 电路和滞回比较器时将产生幅值约为12V 的方波,因为稳压管选择1N4742A (约12V )。电压比较电路用于比较模拟输入电压与设定参考电压的大小关系,比较的结果决定输出是高电平还是低电平。滞回比较器主要用来将信号与零电位进行比较,以决定输出电压。图3为一种滞回电压比较器电路,双稳压管用于输出电压限幅,R 3起限流作用,R 2和R 1构成正反馈,运算放大器当u p >u n 时工作在正饱和区,而当u n >u p 时工作在负饱和区。从电路结构可知,当输入电压u in 小于某一负值电压时,输出电压u o = -U Z ;当输入电压u in 大于某一电压时,u o = +U Z 。运算放大器在两个饱和区翻转时u p =u n =0,由此可确定出翻转时的输入电压。u p 用u in 和u o 表示,有 2 1o 1in 22 1o 2 in 1p 111 1R R u R u R R R u R u R u ++= ++= 根据翻转条件,令上式右方为零,得此时的输入电压 th Z 2 1 o 21in U U R R u R R u ==-= U th 称为阈值电压。滞回电压比较器的直流传递特性如图4所示。设输入电压初始值小于-U th ,此时u o = -U Z ;增大u in ,当u in =U th 时,运放输出状态翻转,进入正饱和区。如果初始时刻运放工作在正饱和区,减小u in ,当u in = -U th 时,运放则开始进入负饱和区。 RC 振荡电路 积分电路 方波 三角波 反相输入的滞回比较 生成 生成 输入 积分电路 输入

波形发生器课程设计

波形发生器设计 设计总说明 本系统采用AT89C51单片机作为控制核心,外围采用数字/模拟转换电路(DAC0832)运算放大器、按键等。采用AT89C51单片机和DAC0832芯片,直接连接键盘和显示。该种方案主要对AT89C51单片机的各个I/O口充分利用. P1口是连接键盘以及接显示电路,P2口连接DAC0832输出波形.这样总体来说,能对单片机各个接口都利用上,而不在多用其它芯片,从而减小了系统的成本.也对按照系统便携式低频信号发生器的要求所完成.占用空间小,使用芯片少,低功耗。 通过按键控制可产生方波、三角波、正弦波、梯形波、锯齿波。其设计简单、性能优好,具有一定的实用性。正弦波、三角波、方波、梯形波、锯齿波是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生装置。 关键字:AT89C5,DAC0832,运算放大器

目录 1绪论 (1) 1.1设计目的 (1) 1.2设计容 (1) 2系统设计方案 (3) 2.1系统组成 (3) 2.2系统工作原理 (3) 3系统硬件电路设计 (4) 3.1单片机最小系统设计 (4) 3.2其他硬件模块电路设计 (4) 3.2.1 DAC0832芯片介绍 (4) 3.2.2单片机AT89C51介绍 (6) 4系统软件程序设计 (10) 4.1主程序设计 (10) 4.2其他子程序设计 (11) 4.2.1锯齿波流程设计 (11) 4.2.2梯形波流程设计 (12) 4.2.3三角波流程设计 (13) 4.2.4方波流程设计 (14) 4.2.5正弦波流程设计 (15)

5 调试与仿真 (18) 6 总结 (19) 致 (21) 参考文献 (22)

信号发生器实验报告(波形发生器实验报告)

信号发生器 一、实验目的 1、掌握集成运算放大器的使用方法,加深对集成运算放大器工作原理的理解。 2、掌握用运算放大器构成波形发生器的设计方法。 3、掌握波形发生器电路调试和制作方法 。 二、设计任务 设计并制作一个波形发生电路,可以同时输出正弦、方波、三角波三路波形信号。 三、具体要求 (1)可以同时输出正弦、方波、三角波三路波形信号,波形人眼观察无失真。 (2)利用一个按钮,可以切换输出波形信号。。 (3)频率为1-2KHz 连续可调,波形幅度不作要求。 (4)可以自行设计并采用除集成运放外的其他设计方案 (5)正弦波发生器要求频率连续可调,方波输出要有限幅环节,积分电路要保证电路不出现积分饱和失真。 四、设计思路 基本功能:首先采用RC 桥式正弦波振荡器产生正弦波,然后通过整形电路(比较器)将正弦波变换成方波,通过幅值控制和功率放大电路后由积分电路将方波变成三角波,最后通过切换开关可以同时输出三种信号。 五、具体电路设计方案 Ⅰ、RC 桥式正弦波振荡器 图1 图2 电路的振荡频率为:RC f π21 0= 将电阻12k ,62k 及电容100n ,22n ,4.4n 分别代入得频率调节范围为:24.7Hz~127.6Hz ,116.7Hz~603.2Hz ,583.7Hz~3015Hz 。因为低档的最高频率高于高档的最低频率,所以符合实验中频率连续可调的要求。 如左图1所示,正弦波振荡器采用RC 桥式振荡器产生频率可调的正弦信号。J 1a 、J 1b 、J 2a 、J 2b 为频率粗调,通过J 1 J 2 切换三组电容,改变频率倍率。R P1采用双联线性电位器50k ,便于频率细调,可获得所需要的输出频率。R P2 采用200k 的电位器,调整R P2可改变电路A f 大小,使得电路满足自激振荡条件,另外也可改变正弦波失真度,同时使正弦波趋于稳定。下图2为起振波形。

毕业设计169邵阳学院基于模拟电路的波形发生器设计

前言 波形发生器是一种常用的信号源,广泛用于科学研究、生产实践和教学实践等领域。如设计和测试、汽车制造、生物医药、传感器仿真、制造模型等。 传统的信号发生器采用模拟电子技术,由分立元件构成振荡电路和整形电路,产生各种波形。它在电子信息、通信、工业等领域曾发挥了很大的作用。但是采用这种技术的波形发生器电路结构复杂、体积庞大、稳定度和准确度较差,而且仅能产生正弦波、方波、三角波等几种简单波形,难以产生较为复杂的波形信号。随着微处理器性能的提高,出现了由微处理器、D/A以及相关硬件、软件构成的波形发生器。它扩展了波形发生器的功能,产生的波形也比以往复杂。实质上它采用了软件控制,利用微处理器控制D/A,就可以得到各种简单波形。但由于微处理器的速度限制,这种方式的波形发生器分辨率较低,频率切换速度较慢。 从2007年2月到2007年4月,在系统研究国内外波形发生器的基础上提出了基于Matlab和FPGA技术的波形发生器,在FPGA内开辟高速存储器ROM做查询表,通过Matlab获得波形数据存入ROM中,波形数据不断地,有序地从ROM 中送到高速D/A转换器对存储器的波形数据进行转换。因此只要改变FPGA中查找表数据就可以产生任意波形,因此该研究方法可以产生任意波形。 随着我国四个现代化和经济发展,我国在科技和生产各领域都取得了飞速的发展和进步,同时这也对相应的测试仪器和测试手段提出了更高的要求,而波形发生器已成为测试仪器中至关重要的一类,因此在国内发展波形发生器具有重大意义和实际价值。例如,它能模拟编码雷达信号、潜水艇特征信号、磁盘数据信号、机械振动瞬变过程、电视信号以及神经脉冲之类的波形,也能重演由数字示波器捕获的波形等。 在本次设计中,我通过Matlab获取了波形数据,在FPGA中开辟了ROM区域,在MaxplusⅡ开发平台上,实现了电路的VHDL硬件描述和仿真,电路功能在EDA平台上得到了验证,但由于我的能力和水平有限,论文中肯定会有不妥之处和错误,恳请老师和同学提出批评和改进意见,在此表示由衷的感谢。

波形发生器设计实验报告

波形发生器设计实验报告 一、设计目的 掌握用99SE软件制作集成放大器构成方波,三角波函数发生器的设计方法。 二、设计原理 波形发生器:函数信号发生器是指产生所需参数的电测试信号的仪器。按信号波形可分为正弦信号、函(波形)信号、脉冲信号和随机信号发生器等四大类。而波形发生器是指能够输出方波、三角波、正弦波等多种电压波形的信号源。它可采用不同的电路形式和元器件来实现,具体可采用运算放大器和分立元件构成,也可用单片专用集成芯片设计。 设计原理图:

三、设计元件 电阻:R1 5.1K、R2 8.2K 、R3 680 、R4 3K 、R5 39K R6 1K 、R7 39K 、R8 39K 电容:C 1uF 运算放大器:U1A LM324 、U1B LM324 二极管:D1 3.3V 、D2 3.3V 滑动变阻器:RW1 10K 接口:CON3 地线、GND 四、设计步骤 大概流程图 1、打开99SE,建立Sch文件。绘制原理图。 绘制原理图时要注意放大器的引脚(注意引脚上所对应的数字)和二极管的引脚(注意原理图和PCB中的引脚参数是否一致)。 元件元件库代码

电阻:RES2 滑动变阻器:POT2 电容:CAP 放大器:OPAMP 二极管:ZENER3 元件封装代码 电阻:AXIAL0.4 滑动变阻器:VR5 放大器:DIP14 二极管:DIODE0.4 电容:RB.2/.4 2、生成网络表格 本步骤可完成建立材料清单(可执行report中的Bill of Material)、电器规则检查(Tools中ERC )、建立网络表(Design中Create Netlist,点击OK即可)3、PCB文件的设置 建立PCB文件 单双面板设置:Design中Options进行设置单双面板,及面板大小(8cm*7cm)建立原点(Edit中Origin中的set) 并在KeepOutLayer层中制板 4、引入网络表 执行Design中Load Nets载入网络表,屏幕弹出对话框,点击Browse按钮选择网络表文件(*net),载入网络表,单机Execute,便成功引入网络表。 5、修改封装与布局 按照原理图调试布局,美观整齐即可 6、设置PCB规则 Design中Rules即可设置规则例:设置地线,电源线等的粗细参数。双面布线及单面布线的设置等等。

相关主题
文本预览
相关文档 最新文档