当前位置:文档之家› 基于FPGA的温度传感器系统

基于FPGA的温度传感器系统

基于FPGA的温度传感器系统
基于FPGA的温度传感器系统

湖北科技学院计算机学院《FPGA原理及应用》

课程设计

课设题目:温度传感器系统

院系:计算机科学与技术

专业:物联网工程

学生姓名:学号:

学生姓名:学号:

学生姓名:学号:

学生姓名:学号:

指导教师:

2014 年12 月

目录

一课程设计目的 (1)

二课程设计题目描述和要求 (1)

2.1课程设计题目描述 (1)

2.2温度传感器检测标准及技术 (1)

三课程设计报告内容 (2)

3.1 设计方案 (2)

3.2 设计方案论证 (2)

四硬件各部分工作原理 (2)

4.1 FPGA芯片简介 (2)

4.2 标准温度测量模块 (6)

4.3 RS-232串口通信模块 (7)

4.4 LCD1602液晶显示 (7)

五系统电路原理框图 (9)

六软件设计 (9)

6.1 软件原理框图 (9)

6.2 时序分析 (10)

七误差分析 (11)

八程序设计 (11)

8.1 主要代码 (11)

8.2 液晶显示程序 (17)

九总结 (20)

十参考文献 (21)

一课程设计目的

温度是一种最基本的环境参数,人们的生活与环境的温度息息相关,在工业生产过程中需要实时测量温度,在农业生产中也离不开温度的测量,因此研究温度的测量方法和装置具有重要的意义。测量温度的关键是温度传感器,温度传感器的发展经历了三个发展阶段:传统的分立式温度传感器;模拟集成温度传感器;智能集成温度传感器。目前,国际上新型温度传感器正从模拟式向数字式,从集成化向智能化、网络化的方向飞速发展。本文将介绍采用智能集成温度传感器DS18B20,并以FPGA为控制器的温度测量装置的硬件组成和软件设计,用液晶来实现温度显示。

二课程设计题目描述和要求

2.1课程设计题目描述

这次基于FPGA温度监测系统设计的课程设计由总体框架构成下,各个部分模块的拼接与联系,在Quartus软件的基础上实现温度监测的功能。首先硬件由DS18B20温度传感器,1602液晶,发光二极管,按键等组成;软件部分由二分频电路,键盘电路和弹跳消除电路组成;最后将硬件和软件合理的结合形成温度监测系统。该实验采用由总到分再到总的实验模式,在总体思路下各硬件电路的实现以及软件电路的辅助之后再总的合并,即完成该课程设计。其中软件的形成需要我们熟悉掌握Quartus软件,由原理图—程序编写—程序编译—程序修改—再次编译—管脚定义—程序下载—程序加载—硬件测试的过程。

2.2温度传感器检测标准及技术

1、测量准确度:0.01级;分辨率0.1uV和0.1mΩ;

2、扫描开关寄生电势:≤0.4μV;

3、温度范围:水槽:(室温+5~95)℃ 油槽:(95 ~ 300)℃ 低温恒温槽:(-80 ~ 100)℃ 高温炉:(300~1200)℃;

4、控温稳定度:优于0.01℃/10min(油槽、水槽、低温恒温槽);0.2℃/min(管式检定炉);

5、总不确定度:热电偶检定,测量不确定度优于0.7℃,重复性误差<0.25℃;热电阻检定测量不确定度优于50mk,重复性误差<10mk;

6、工作电源:AC220V±10%,50Hz,并有良好保护接地;

三课程设计报告内容

3.1 设计方案

(1)查阅相关文献资料,熟悉所选FPGA芯片及温度传感器

(2)总体设计方案规划

(3)系统硬件设计,熟悉AD转换原理及过程,温度传感器与FPGA芯片的硬件接口实现及温度显示。

(4)系统软件设计,包括温度的AD转换及显示的软件实现,用VHDL语言编程。(5)设计心得体会及总结。

3.2 设计方案论证

有许多客观需求促进了FPGA芯片的设计改进。首先,便携式的嵌入式系统往往需要电池供电。为降低功耗,FPGA芯片已被特殊设计成较小的核,从而延长了电池的使用时间。高的代码密度是嵌入式系统的又一个重要需求。由于成本问题和物理尺寸的限制,嵌入式系统的存储器是很有限的。所以,高的代码密度对于那些只限于在板存储器的应用是非常有帮助的。

另外,嵌入式系统通常都是价格敏感的,因此一般都使用速度不高、成本较低的存储器。FPGA芯片不是一个纯粹的RISC体系结构,这是为了使它能够更好的适应其主要应用领域--嵌入式系统。在某种意义上,甚至可以认为FPGA 芯片的成功,正是因为它没有在RISC的概念上沉入太深。现在系统的关键并不在于单纯的处理器速度,而在于有效的系统性能和功耗。

在本系统的设计过程中,根据嵌入式系统的基本设计思想,系统采用了模块化的设计方法,并且根据系统的功能要求和技术指标,系统遵循自上而下、由大到小、由粗到细的设计思想,按照系统的功能层次,在设计中把硬件和软件分成若干功能模块分别设计和调试,然后全部连接起来统调。

四硬件各部分工作原理

4.1 FPGA芯片简介

目前以硬件描述语言(Verilog 或 VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至 FPGA 上进行测试,是现代 IC 设计

验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。系统设计师可以根据需要通过可编辑的连接把FPGA内部的逻辑块连接起来,就好像一个电路试验板被放在了一个芯片里。一个出厂后的成品FPGA的逻辑块和连接可以按照设计者而改变,所以FPGA可以完成所需要的逻辑功能。FPGA一般来说比ASIC(专用集成芯片)的速度要慢,无法完成复杂的设计,而且消耗更多的电能。但是他们也有很多的优点比如可以快速成品,可以被修改来改正程序中的错误和更便宜的造价。厂商也可能会提供便宜的但是编辑能力差的FPGA。因为这些芯片有比较差的可编辑能力,所以这些设计的开发是在普通的FPGA上完成的,然后将设计转移到一个类似于ASIC的芯片上。另外一种方法是用CPLD(复杂可编程逻辑器件备)。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找表(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程.

FPGA电源要求输出电压范围从1.2V到5V,输出电流范围从数十毫安到数安培。可用三种电源:低压差(LDO)线性稳压器、开关式DC-DC稳压器和开关式电源模块。最终选择何种电源取决于系统、系统预算和上市时间要求。如果电路板空间是首要考虑因素,低输出噪声十分重要,或者系统要

求对输入电压变化和负载瞬变做出快速响应,则应使用LDO稳压器。LDO功效比较低(因为是线性稳压器),只能提供中低输出电流。输入电容通常可以降低LDO输入端的电感和噪声。LDO输出端也需要电容,用来处理系统瞬变,并保持系统稳定性。也可以使用双输出LDO,同时为VCCINT和VCCO 供电如果在设计中效率至关重要,并且系统要求高输出电流,则开关式稳压器占优势。开关电源的功效比高于LDO,但其开关电路会增加输出噪声。与LDO不同,开关式稳压器需利用电感来实现DC-DC转换。

为确保正确上电,内核电压VCCINT的缓升时间必须在制造商规定的范围内。对于一些FPGA,由于VCCINT会在晶体管阈值导通前停留更多时间,因此过长的缓升时间可能会导致启动电流持续较长时间。如果电源向FPGA 提供大电流,则较长的上电缓升时间会引起热应力。ADI公司的DC-DC稳压器提供可调软启动,缓升时间可以通过外部电容进行控制。缓升时间典型值在20ms至100ms范围内。许多FPGA没有时序控制要求,因此VCCINT、VCCO和VCCAUX可以同时上电。如果这一点无法实现,上电电流可以稍高。时序要求依具体FPGA而异。对于一些FPGA,必须同时给VCCINT和VCCO供电。对于另一些FPGA,这些电源可按任何顺序接通。多数情况下,先给VCCINT 后给VCCO供电是一种较好的做法。当VCCINT在0.6V至0.8V范围内时,某些FPGA系列会产生上电涌入电流。在此期间,电源转换器持续供电。这种应用中,因为器件需通过降低输出电压来限制电流,所以不推荐使用返送电流限制。但在限流电源解决方案中,一旦限流电源所供电的电路电流超过设定的额定电流,电源就会将该电流限制在额定值以下。

对于高速、高密度FPGA器件,保持良好的信号完整性对于实现可靠、可重复的设计十分关键。适当的电源旁路和去耦可以改善整体信号完整性。如果去耦不充分,逻辑转换将会影响电源和地电压,导致器件工作不正常。此外,采用分布式电源结构也是一种主要解决方案,给FPGA供电时可以将电源电压偏移降至最低。在传统电源结构中,AC/DC或DC/DC转换器位于一个地方,并提供多个输出电压,在整个系统内分配。这种设计称为集中式电源结构 (CPA),见左图。以高电流分配低电压时,铜线或PCB轨道会产生严重的电阻损耗,CPA就会发生问题。 CPA的替代方案是分布式电源结

构(DPA),见左图。采用DPA时,整个系统内仅分配一个半稳压的DC电压,各DC/DC转换器(线性或开关式)与各负载相邻。DPA中,DC/DC转换器与负载(例如FPGA)之间的距离近得多,因而线路电阻和配线电感引起的电压下降得以减小。这种为负载提供本地电源的方法称为负载点(POL)。

目前主流的FPGA仍是基于查找表技术的,已经远远超出了先前版本的基本性能,并且整合了常用功能(如RAM、时钟管理和DSP)的硬核(ASIC 型)模块。如图1-1所示(注:图1-1只是一个示意图,实际上每一个系列的FPGA都有其相应的内部结构),FPGA芯片主要由7部分完成,分别为:可编程输入输出单元、基本可编程逻辑单元、完整的时钟管理、嵌入块式RAM、丰富的布线资源、内嵌的底层功能单元和内嵌专用硬件模块。

FPGA基本特点:1)采用FPGA设计ASIC电路(专用集成电路),用户不需要投片生产,就能得到合用的芯片。 2)FPGA可做其它全定制或半定制ASIC电路的中试样片。 3)FPGA内部有丰富的触发器和I/O引脚。4)FPGA 是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。5) FPGA 采用高速CMOS工艺,功耗低,可以与CMOS、TTL电平兼容。可以说,FPGA 芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA 能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活。

4.2 标准温度测量模块

美国 Dallas 半导体公司的数字化温度传感器 DS1820 是世界上第一片支持 "一线总线"接口的温度传感器,在其内部使用了在板(ON-B0ARD)专利技术。全部传感元件及转换电路集成在形如一只三极管的集成电路内。一线总线独特而且经济的特点,使用户可轻松地组建传感器网络,为测量系统的构建引入全新概念。现在,新一代的 DS18B20 体积更小、更经济、更灵活。使你可以充分发挥“一线总线”的优点。

DS18B20 的主要特性:(1)适应电压范围更宽,电压范围:3.0~5.5V,在寄生电源方式下可由数据线供电(2)独特的单线接口方式,DS18B20 在与微处理

器连接时仅需要一条口线即可实现微处

理器与DS18B20 的双向通讯(3)

DS18B20 支持多点组网功能,多个

DS18B20 可以并联在唯一的三线上,实

现组网多点测(4)DS18B20 在使用中不

需要任何外围元件,全部传感元件及转

换电路集成在形如一只三极管的集成电

路内(5)温范围-55℃~+125℃,在

-10~+85℃时精度为±0.5℃(6)可编

程的分辨率为 9~12 位,对应的可分辨

温度分别为 0.5℃、0.25℃、0.125℃和

0.0625℃,可实现高精度测温(7)在 9

位分辨率时最多在 93.75ms 内把温度转换为数字,12 位分辨率时最多在 750ms 内把温度值转换为数字,速度更快(8)测量结果直接输出数字温度信号,以"一线总线"串行传送给 CPU,同时可传送 CRC 校验码,具有极强的抗干扰纠错能力(9)负压特性:电源极性接反时,芯片不会因发热而烧毁,但不能正常工作。

DS18BCD0引脚功能图下表所示

DS18B20 中的温度传感器可完成对温度的测量,以 12 位转化为例:用 16 位

符号扩展的二进制补码读数形式提供,以 0.0625℃/LSB 形式表达,其中 S 为

符号位。

存储在 18B20 的两个 8 比特的 RAM 中,二进制中的前面 5 位是符号位,如

果测得的温度大于 0,这 5 位为 0,只要将测到的数值乘于 0.0625 即可得到

实际温度;如果温度小于 0,这 5 位为 1,测到的数值需要取反加 1 再乘于

0.0625 即可得到实际温度。

例如+125℃的数字输出为 07D0H ,+25.0625℃的数字输出为 0191H ,-25.0625℃

的数字输出为 FF6FH ,-55℃的数字输出为 FC90H 。

4.3 RS-232串口通信模块

硬件电路和PC 机之间采用RS-232串口通信技术,通信芯片采用MAX232。

该芯片只需+5V 供电,内部集成电平转换电路,外围电路简单,只需3根线就能

完成半双工通信,且传输可靠。STC89C52有专门的串口通信引脚RXD 、TXD 。本

系统中采用的STC89C52的Timer2作为串行数据传输的波特率发生器。

4.4 LCD1602液晶显示

LCD1602液晶显示实物图如右图2.1所示

工业字符型液晶,能够同时显示16x02即

32个字符。(16列2行) 注:为了表示的

方便 ,后文皆以1表示高电平,0表示低电 序号 名称

引脚功能描述 1

GND 地信号 2 DQ 数据输入/输出引脚。开漏单总线接口引脚。当

被用着在寄生电源下,也可以向器件提供电源。

3 VDD 可选择的VDD 引脚。当工作于寄生电源时,此引

脚必须接地。

平。1602液晶也叫1602字符型液晶它是一种专门用来显示字母、数字、符号等的点阵型液晶模块它有若干个5X7或者5X11等点阵字符位组成,每个点阵字符位都可以显示一个字符。每位之间有一个点距的间隔每行之间也有间隔起到了字符间距和行间距的作用,正因为如此所以他不能显示图形(用自定义CGRAM,显示效果也不好)n1602LCD是指显示的内容为16X2,即可以显示两行,每行16个字符液晶模块(显示字符和数字)。n目前市面上字符液晶绝大多数是基于HD44780液晶芯片的,控制原理是完全相同的,因此基于HD44780写的控制程序可以很方便地应用于市面上大部分的字符型液晶。

LCD1602液晶显示管脚功能如下图2.2所示

1602采用标准的16脚接口,其中:第1

脚:VSS为电源地。第2脚:VDD接5V电

源正极。第3脚:V0为液晶显示器对比度

调整端,接正电源时对比度最弱,接地电

源时对比度最高(对比度过高时会产生“鬼影”,使用时可以通过一个10K的电位器调整对比度)。图2.2 LCD1602管脚功能第4脚:RS为寄存器选择,高电平1时选择数据寄存器、低电平0时选择指令寄存器。第5脚:RW为读写信号线,高电平(1)时进行读操作,低电平(0)时进行写操作。第6脚:E(或EN)端为使能(enable)端。第7~14脚:D0~D7为8位双向数据端。第15~16脚:空脚或背灯电源。15脚背光正极,16脚背光负极。

1602LCD的特性:+5V电压,对比度可调;内含复位电路;提供各种控制命令,如:清屏、字符闪烁、光标闪烁、显示移位等多种功能;有80字节显示数据存储器DDRAM;内建有192个5X7点阵的字型的字符发生器CGROM;8个可由用户自定义的5X7的字符发生器CGRAM。

五系统电路原理框图

从该硬件原理框以及电路原理图的设计可以看出基于FPGA温度监测系统的设计由LCD1602液晶显示和DS18B20温度传感器通过FPGA芯片组成的系统实现温度检测功能。

六软件设计

6.1 软件原理框图

基于FPGA温度监测系统的软件原理框图如下图6.1所示

图6.1 软件原理框图

6.2 时序分析

本部分主要完成LCD1602初始化、DS18B20的初始化、从DS18B20读取数据、向DS18B20写数据及温度转化等子程序的编写,此外在进行程序的编写时一定要严格保证读写时序的正确性,否则无法读取测温结果,其初始化时序和写时序的程序分别如下:

初始化时序包括一个主机发出的复位脉冲以及从机的应答脉冲这一过程,如图所示复位脉冲是一个480~960us的低电平然后释放总线,将总线拉至高电平,时间持续15~60us之后从机开始向总线发出一个应答脉冲,该脉冲是一个60~240us的低电平信号,表示从机已准备好,在初始化过程中主机接收脉冲的时间最少为480us。

DSl8820的访问步骤为:先进行初始化(Ini—tialization),然后发送ROM 命令,最后发送Func—tion功能命令.对DSl8820访问必须通过上述步骤完成.初始化命令使主设备知道从设备是在总线上并可以进行访问.ROM命令可以使主设备知道有多少和什么类型的从设备连接在总线上并且判断是否超过温度报警值.共有5种ROM命令,分别是READROM(33H)、MATCH ROM(55H)、SEARCHROM(FOH)、SKIP ROM(CCH)、ALARM SEARCH命令(ECH).主设备可以通过功能命令来对DSl8820

内部存储器进行读写.有6种功能命令,分别是CONVERT(44H)、WRITE SCRATCHPAD(BEH)、READSCRATCHPAD(4EH)、COPYSCRATCHPAD(48H)、RECALL E2(B8H)、读电源供电方式(B4H).DSl8820的单线通信功能是分时完成的,它有严格的时隙要求.

七误差分析

本系统中的标准温度由DS18B20输出的数字量通过曲线拟合转换为温度值得到。为了尽量减小误差,采用3次曲线拟合,因为0 ℃~100 ℃本身温度范围比较小,所以就将0 ℃~100 ℃作为一个温度段,拟合成1条曲线。具体实现由1stOpt软件完成。1stOpt在非线性拟合、参数估算等优化领域处于领先地位。标准温度测量模块在35 ℃~40 ℃范围内测试结果如表1所示。标准误差:

八程序设计

8.1 主要代码

Library IEEE;

Use IEEE.Std_Logic_1164.All;

Entity wendu is

Port

(led : out std_logic_vector(0 to 16);

wireout : inout std_logic;

clk : in std_logic;

rst : in std_logic );

End entity b;

Architecture init of wendu is

type init_states is

(s00,s0,s1,s2,s3,s4,s5,s6,s7,w0,w1,w00,w01,read0,read1,read2,read3);

signal state : init_states;

signal i : integer Range 0 to 1000000;

signal reset : std_logic;

begin

process (rst,wireout,clk)

variable i : Integer Range 0 to 1000;

variable flag: Integer Range 0 to 200;

variable light: Integer Range 0 to 16;

begin

if rst = '0' then state <= s00;

wireout <= 'Z';flag:=0;

elsif rising_edge(clk) then

case state is

when s00=> flag:=0;

state <=s0;

when s0 => wireout <= '0'; --初始化18b20

--主机拉底总线

reset <='1';

state <=s1;

when s1 => reset <='0';

if (i = 500) then --延时500us

wireout <= 'Z'; --释放总线

reset <='1';

state <=s2;

end if;

when s2 => reset <='0';

if (i = 100) then --等待100us

reset <='1';

state <=s3;

end if;

when s3 => if (wireout = '0') then --若18b20拉低总线,初始化成功state <=s4;

led(16)<='1'; --led16灯亮

elsif (wireout ='1') then --否则,初始化不成功,返回S0

state <= s0;

end if;

when s4 => reset<='0';

if (i = 400) then --再延时400us

reset<='1';

state <= s5;

end if;

when s5 => --写数据

if(flag = 0 ) then flag:=1;state <=w0; --cch

elsif (flag = 1 ) then flag:=2;state <=w0;

elsif (flag = 2 ) then flag:=3;

state <=w01;wireout<='0';

elsif (flag = 3 ) then flag:=4;

state <=w01;wireout<='0';

elsif (flag = 4 ) then flag:=5;state <=w0;

elsif (flag = 5 ) then flag:=6;state <=w0;

elsif (flag = 6 ) then flag:=7;

state <=w01;wireout<='0';

elsif (flag = 7 ) then flag:=8;

state <=w01;wireout<='0';

--第一次写完,750ms后,跳回s0

elsif (flag = 16 ) then flag:=20;

state <=s6;wireout<='Z';

--再次置数 cch和beh

elsif (flag = 20 ) then flag:=21;state <=w0; --cch elsif (flag = 21 ) then flag:=22;state <=w0;

elsif (flag = 22 ) then flag:=23;

state <=w01;wireout<='0';

elsif (flag = 23 ) then flag:=24;

state <=w01;wireout<='0';

elsif (flag = 24 ) then flag:=25;state <=w0;

elsif (flag = 25 ) then flag:=26;state <=w0;

elsif (flag = 26 ) then flag:=27;

state <=w01;wireout<='0';

elsif (flag = 27 ) then flag:=28;

state <=w01;wireout<='0';

elsif (flag = 28 ) then flag:=29;state <=w0;

--beh 10111110

elsif (flag = 29 ) then flag:=30;

state <=w01;wireout<='0';

elsif (flag = 30 ) then flag:=31;

state <=w01;wireout<='0';

elsif (flag = 31 ) then flag:=32;

state <=w01;wireout<='0';

elsif (flag = 32 ) then flag:=33;

state <=w01;wireout<='0';

elsif (flag = 33 ) then flag:=34;

state <=w01;wireout<='0';

elsif (flag = 34 ) then flag:=35;state <=w0;

elsif (flag = 35 ) then flag:=36;

state <=w01;wireout<='0';

--第二次写完,跳到s7,直接开始读数据

elsif (flag = 36 ) then flag:=40;state <=s7;

end if;

when s6 => reset<='0';

if (i = 750000 or wireout='1' ) then --延时750ms!!!!

state <= s0;reset<='1'; --跳回s0,再次初始化end if;

when s7 => --读数据

if(flag = 40 ) then flag:=41;

state <=read0;light:=0; wireout<='0';

elsif (flag = 41 ) then flag:=42;

state <=read0;light:=1; wireout<='0';

elsif (flag = 42 ) then flag:=43;

state <=read0;light:=2; wireout<='0';

elsif (flag = 43 ) then flag:=44;

state <=read0;light:=3; wireout<='0';

elsif (flag = 44 ) then flag:=45;

state <=read0;light:=4; wireout<='0';

elsif (flag = 45 ) then flag:=46;

state <=read0;light:=5; wireout<='0';

elsif (flag = 46 ) then flag:=47;

state <=read0;light:=6; wireout<='0';

elsif (flag = 47 ) then flag:=48;

state <=read0;light:=7; wireout<='0';

elsif (flag = 48 ) then flag:=49;

state <=read0;light:=8; wireout<='0';

elsif (flag = 49 ) then flag:=50;

state <=read0;light:=9; wireout<='0';

elsif (flag = 50 ) then flag:=51;

state <=read0;light:=10;wireout<='0';

elsif (flag = 51 ) then flag:=52;

state <=read0;light:=11;wireout<='0';

elsif (flag = 52 ) then flag:=53;

state <=read0;light:=12;wireout<='0';

elsif (flag = 53 ) then flag:=54;

state <=read0;light:=13;wireout<='0';

elsif (flag = 54 ) then flag:=55;

state <=read0;light:=14;wireout<='0';

elsif (flag = 55 ) then flag:=60;

state <=read0;light:=15;wireout<='0';

elsif (flag = 60 ) then flag:=0;state<=s0;

end if;

when w0 => wireout<='0'; --输出0

reset<='0';

if (i = 80) then --延时80us

wireout<='Z'; --释放总线,自动拉高

reset<='1';state<=w00;

end if;

when w00 => state<=s5; --空状态

when w01=> state<=w1; --空状态

when w1 => wireout<='Z'; --输出1释放总线,自动拉高

reset<='0';

if (i = 80) then --延时80us

reset<='1';state<=s5;

end if;

when read0=> state <= read1; --空延时状态

when read1=> wireout <= 'Z'; --释放总线

reset<='0';

if (i = 10) then --再延时10us

reset<='1';state <= read2;

end if;

when others =>state <=s00;

end case;

end if;

end process;

process(clk,reset)

begin

if (reset='1')then i<=0;

elsif rising_edge(clk) then i<=i+1;

end if;

end process;

end architecture init;

8.2 液晶显示程序

library ieee;

use IEEE.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity lcd1602 is

port(clk, reset: in std_logic;

LCD_Data: out std_logic_vector(8 downto 0);

en: out std_logic;

rw: out std_logic);

end lcd1602;

architecture gongneng of lcd1602 is

signal LCD_Clk: std_logic;

signal s : integer range 0 to 10000000;

begin

--50MHz to 5Hz

process(clk, reset)

begin

if reset = '0' then LCD_Clk <= '0';

s <= 0;

elsif clk'event and clk = '1' then

if s = 10000000 then

s <= 0;

LCD_Clk <= not LCD_Clk;

else

s <= s + 1;

end if;

end if;

end process;

rw <='0';

en <= LCD_Clk;

process(LCD_Clk)

variable cnt: std_logic_vector(4 downto 0):="00000";

光纤光栅温度传感器 报告

波长调制型光纤温度传感器《光纤传感测试技术》 课程作业报告 提交时间:2011年10月27 日

1 研究背景 (执笔人: ) 被测场或参量与敏感光纤相互作用,引起光纤中传输光的波长改变,进而通过测量光波长的变化来确定北侧参量的传感方法即为波长调制型光纤传感器。 光纤光栅传感器是一种典型的波长调制型光纤传感器。基于光纤光栅的传感过程是通过外界参量对布拉格中心波长B λ的调制来获取传感信息,其数学表达式为: 2B eff n λ=Λ 式中:eff n 为纤芯的有效折射率;Λ是光栅周期。 这是一种波长调制型光纤温度传感器,它具有一下明显优势: (1)抗干扰能力强。由于光纤传感器是利用光波传输信息,而光纤又是电绝缘、耐腐蚀的传输介质,因而不怕强电磁干扰,也不影响外界的电磁场,并且安全可靠。这使它在各种大型机电、石油化工、冶金高压、强电磁干扰、易燃、易爆、强腐蚀环境中能方便而有效地传感,具有很高的可靠性和稳定性。 (2)传感探头结构简单,体积小,重量轻,外形可变,适合埋入大型结构中测量结构内部的应力 、应变及结构损伤,稳定性、重复性好,适用于许多应用场合,尤其是智能材料和结构。 (3)测量结果具有良好的重复性。 (4)便于构成各种形式的光纤传感网络。 (5)可用于外界参量的绝对测量。 (6)光栅的写入技术已经较为成熟,便于形成规模生产。 (7)轻巧柔软,可以在一根光纤中写入多个光栅,构成传感阵列,与波分复用和时分复用系统相结合,实现分布式传感。 由于以上优点,光纤光栅传感器在大型土木工程结构、航空航天等领域的健康检测以及能源化工等领域得到了广泛的应用。但是它也存在一些不足之处。因为光纤光栅传感的关键技术在于对波长漂移的检测,而目前对波长漂移的检测需要用较复杂的技术和较昂贵的仪器或光纤器件,需大功率的宽带光源或可调谐光源,其检测的分辨率和动态范围也受到一定的限制等。 光纤布拉格光栅无疑是一种优秀的光纤传感器,尤其在测量应力和应变的场合,具有其它一些传感器无法比拟的优点,被认为是智能结构中最有希望集成在材料内部,作为检测材

DS18B20 数字温度传感器

应用指引:在MC430F14板上是标配了DS18B20数字温度传感器器,同时希望用户通过以下DS18B20的讲解能够了解更多1线 MC430F14实物图如下: >>关于MC430F14开发板详情>> 在传统的模拟信号远距离温度测量系统中,需要很好的解决引线误差补偿问题、多点测量切换误差问题和放大电路零点漂移误差问题等技术问题,才能够达到较高的测量精度。另外一般监控现场的电磁环境都非常恶劣,各种干扰信号较强,模拟温度信号容易受到干扰而产生测量误差,影响测量精度。因此,在温度测量系统中,采用抗干扰能力强的新型数字温度传感器是解决这些问题的最有效方案,新型数字温度传感器DS18B20具有体积更小、精度更高、适用电压更宽、采用一线总线、可组网等优点,在实际应用中取得了良好的测温效果。 新的"一线器件"DS18B20体积更小、适用电压更宽、更经济。

美国Dallas半导体公司的数字化温度传感器DS1820是世界上第一片支持 "一线总线"接口的温度传感器,在其内部使用了在板(ON-B0ARD)专利技术。全部传感元件及转换电路集成在形如一只三极管的集成电路内。一线总线独特而且经济的特点,使用户可轻松地组建传感器网络,为测量系统的构建引入全新概念。现在,新一代的DS18B20体积更小、更经济、更灵活。使你可以充分发挥“一线总线”的优点。目前DS18B20批量采购价格仅10元左右。 DS18B20、DS1822 "一线总线"数字化温度传感器 同DS1820一样,DS18B20也支持"一线总线"接口,测量温度范围为-55°C~+125°C,在-10~+85°C范围内,精度为±0.5°C。DS1822的精度较差为±2°C。现场温度直接以"一线总线"的数字方式传输,大大提高了系统的抗干扰性。适合于恶劣环境的现场温度测量,如:环境控制、设备或过程控制、测温类消费电子产品等。与前一代产品不同,新的产品支持3V~5.5V的电压范围,使系统设计更灵活、方便。而且新一代产品更便宜,体积更小。 DS18B20、DS1822的特性 DS18B20可以程序设定9~12位的分辨率,精度为±0.5°C。可选更小的封装方式,更宽的电压适用范围。分辨率设定,及用户设定的报警温度存储在EEPROM中,掉电后依然保存。DS18B20的性能是新一代产品中最好的!性能价格比也非常出色!DS1822与DS18B20软件兼容,是DS18B20的简化版本。省略了存储用户定义报警温度、分辨率参数的EEPROM,精度降低为±2°C,适用于对性能要求不高,成本控制严格的应用,是经济型产品。继"一线总线"的早期产品后,DS1820开辟了温度传感器技术的新概念。DS18B20和DS1822使电压、特性及封装有更多的选择,让我们可以构建适合自己的经济的测温系统。 一、DS18B20的主要特性 (1)适应电压范围更宽,电压范围:3.0~5.5V,在寄生电源方式下可由数据线供电 (2)独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯

DS18b20温度传感器

最小的温度显示程序-c51 (2010-12-07 00:45:27) 转载 分类:51单片机 标签: 杂谈 #include #include sbit DQ=P2^0; bit presence; unsigned char templ,temph; char array[10]={0x7e,0x48,0x3d,0x6d,0x4b,0x67,0x73,0x4c,0x7f,0x4f}; void Delay(unsigned int num)//可定义延时 { while( --num ); } bit Init_DS18B20(void) { DQ = 1; //DQ复位 Delay(8); //稍做延时 DQ = 0; //单片机将DQ拉低 Delay(90); //精确延时大于 480us DQ = 1; //拉高总线 Delay(8); presence = DQ; //如果=0则初始化成功 =1则初始化失败 Delay(100); DQ = 1; return(presence); //返回信号,0=presence,1= no presence } unsigned int ReadOneChar(void) { unsigned char i = 0; unsigned char dat = 0;

for (i = 8; i > 0; i--) { DQ = 0; // 给脉冲信号 dat >>= 1; //位右移 DQ = 1; // 给脉冲信号等待传感器返回脉冲 if(DQ) dat |= 0x80; Delay(4); } return (dat); } void WriteOneChar(unsigned char dat) { unsigned char i = 0; for (i = 8; i > 0; i--) { DQ = 0; DQ = dat&0x01; Delay(5); DQ = 1; dat>>=1; } } void Read_Temperature(void) { Init_DS18B20(); WriteOneChar(0xcc); // 跳过读序号列号的操作 WriteOneChar(0x44); // 启动温度转换 Init_DS18B20(); WriteOneChar(0xCC); //跳过读序号列号的操作 WriteOneChar(0xBE); //读取温度寄存器 templ = ReadOneChar(); //温度低8位 temph = ReadOneChar(); //温度高8位 }

DS18B20温度传感器使用方法以及代码

第7章 DS18B20温度传感器 7.1 温度传感器概述 温度传感器是各种传感器中最常用的一种,早起使用的是模拟温 度传感器,如热敏电阻,随着环境温度的变化,它的阻值也发生线性变化,用处理器采集电阻两端的电压,然后根据某个公式就可以计算出当前环境温度。随着科技的进步,现代的温度传感器已经走向数字化,外形小,接口简单,广泛应用在生产实践的各个领域,为我们的生活提供便利。随着现代仪器的发展,微型化、集成化、数字化、正成为传感器发展的一个重要方向。美国DALLS半导体公司推出的数字化温度传感器DS18B20采用单总线协议,即单片机接口仅需占用一个 I/O端口,无需任何外部元件,直接将环境温度转化为数字信号,以数码方式串行输出,从而大大简化了传感器与微处理器的接口。 7.2 DS18B20温度传感器介绍 DS18B20是美国DALLAS^导体公司继DS1820之后最新推出的一种改进型智能温度传感器。与传统的热敏电阻相比,他能够直接读出被测温度并且可根据实际要求通过简单的编程实现9?12位的数字 值读数方式。可以分别在93.75 ms和750 ms内完成9位和12位的数字量,并且从DS18B20读出的信息或写入 DS18B20的信息仅需要一根口线(单线接口)读写,温度变换功率来源于数据总线,总线本身也可以向所挂接的 DS18B20供电,而无需额外电源。因而使用

DS18B20可使系统结构更趋简单,可靠性更高。他在测温精度、转换时间、传输距离、分辨率等方面较 DS1820有了很大的改进,给用户带来了更方便的使用和更令人满意的效果。 1. DS18B20温度传感器的特性 ①独特的单线接口方式:DS18B20与微处理器连接时仅需要一条口 线即可实现微处理器与DS18B20勺双向通讯。 ②在使用中不需要任何外围元件。 ③可用数据线供电,电压范围:+3.0~ +5.5 V。 ④测温范围:-55 ~+125 C。固有测温分辨率为0.5 C。 ⑤通过编程可实现9~12位的数字读数方式。 ⑥用户可自设定非易失性的报警上下限值。 ⑦支持多点组网功能,多个 DS18B20可以并联在惟一的三线上,实现多点测温。 ⑧负压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作。 2. 引脚介绍 DS18B20有两种封装:三脚TO-92直插式(用的最多、最普遍的封装)和八脚SOIC贴片式。下图为实验板上直插式 DS18B20的原理图。 3. 工作原理 单片机需要怎样工作才能将DS18B2 0中的温度数据独取出来呢?F面将给出详细分析

温度传感器的选用

温度传感器的选用 摘要:在各种各样的测量技术中,温度的测量可能是最为常见的一种,因为许多的应用领域,掌握温度的确切数值,了解温度与实际状态之间的差异等,都具有极为重要的意义。就以测量为例,在力的测量,压力,流量,位置及电平高低等测量的过程中,为了提高测量精度,通常都会要求对温度进行监视。可以说,各种的物理量都是温度的函数,要得到精确的测定结果,必须针对温度的变化,作出精确的校正。 关键字:温度传感器热电偶热电阻集成电路 引言: 工业上常用的温度传感器有四类:即热电偶、热电阻RTD、热敏电阻及集成电路温 度传感器;每一类温度传感器有自己独特的温度测量围,有自己适用的温度环境;没有一种温度传感器可以通用于所有的用途:热电偶的可测温度围最宽,而热电阻的测量线性度最优,热敏电阻的测量精度最高。 1、热电偶 热电偶由二根不同的金属线材,将它们一端焊接在一起构成;参考端温度(也称冷补偿端)用来消除铁-铜相联及康铜-铜联接端所贡献的误差;而两种不同金属的焊接端放置于需 要测量温度的目标上。 两种材料这样联接后会在未焊接的一端产生一个电压,电压数值是所有联接端温度的函数,热电偶无需电压或电流激励。实际应用时,如果试图提供电压或电流激励反而会将误差 引进系统。 鉴于热电偶的电压产生于两种不同线材的开路端,其与外界的接口似乎可通过直接测量两导线之间的电压实现;如果热电偶的的两端头不是联接至另外金属,通常是铜,那末事情 真会简单至此。 但热电偶需与另外一种金属联接这一事实,实际上又建立了新的一对热电偶,在系统中引入了极大的误差,消除此误差的唯一办法是检测参考端的温度,以硬件或硬件-软件相结 合的方式将这一联接所贡献的误差减掉,纯硬件消除技术由于线性化校正的因素,比软件-硬件相结合技术受限制更大。一般情况下,参考端温度的精确检测用热电阻RTD,热敏电 阻或是集成电路温度传感器进行。原则上说,热电偶可由任意的两种不同金属构建而成,但在实践中,构成热电偶的两种金属组合已经标准化,因为标准组合的线性度及所产生的电压与温度的关系更趋理想。 表3与图2是常用的热电偶E,J,T,K,N,S,B R的特性。

光纤温度传感器简介

光纤温度传感器 摘要:本文分析了光纤温度传感器在温度探测中的优势,分别介绍了分布式光纤温度传感器、光纤光栅温度传感器、干涉型光纤温度传感器、光纤荧光温度传感器的工作原理,最后综述了光纤温度感器在现代工业及生活的应用。 关键字:光纤传感温度应用 1引言 在科研和生产中,有很多温度测量问题,传统的温度传感器有热电偶,热电阻温度传感器,热敏电阻温度传感器,半导体温度传感器等等。光纤温度传感器是20世纪70年代发展起来的一种新型传感器。与传统的温度传感器相比,它具有灵敏度高,体积小,质量轻,易弯曲,不产生电磁干扰,不受电磁干扰,抗腐蚀性好等等优点,特别适用于易燃,易爆,空间狭窄和具有腐蚀性强的气体,液体以及射线污染等苛刻环境下的温度检测。 2光纤温度传感器分类 光纤温度传感器按照调制机理可分为相位调制,振幅调制,偏振态调制;按工作原理分,光纤温度传感器可分为功能性和传输型两种。功能型温度传感器中光纤作为传感器的同时也是光信号的载体,而传输型温度传感器中光纤则只传输光信号。传光型与传感型相比,虽然灵敏度稍差,但可靠性高,实用的传感器大多是这种类型。 目前主要的光纤温度传感器包括分布式光纤温度传感器、光纤光栅温度传感器、光纤荧光温度传感器、干涉型光纤温度传感器等。 2.1光纤光栅温度传感器 光纤光栅温度传感器是利用光纤材料的光敏性在光纤纤芯形成的空间相位光栅来进行测温的。光纤光栅以波长为编码,具有传统传感器不可比拟的优势,近年来光纤光栅成为发展最为迅速,最具代表性的光纤无源器件之一,已广泛用于建筑、航天、石油化工、电力行业等。 光纤光栅温度传感器主要有Bragg光纤光栅温度传感器和长周期光纤光栅传感器。Bragg光纤光栅是指单模掺锗光纤经紫外光照射成栅技术而形成的全新光纤型Bragg光栅,成栅后的光纤纤芯折射率呈现周期性分布条纹并产生Bragg 光栅效应,其基本光学特性就是以共振波长为中心的窄带光学滤波器,满足如下光学方程: =2nA 式中:为Bragg波长,A为光栅周期,n为光纤模式的有效折射率。 长周期光纤光栅是一种特殊的光纤光栅,其传光原理是将前向传输的基模耦合到前向传输的包层模中。由于其宽带滤波、极低的背景发射等特点引起人们的重视,是一种新型的宽带带阻滤波器。 光纤温度监测系统主要由光纤光栅传感器、传输信号用的光纤和光纤光栅解调器组成。光纤光栅解调器用于对光纤光栅传感器的信号检测和数据处理,以获得测量结果,传输光纤用于传输光信号,光纤光栅传感器则主要用于反射随温度变化中心波长的窄带光,如图1所示:

温度传感器DS18B20

常用温度传感器DS18B20引脚图,管脚功能及特点 DS18B20的性能特点: 1.单线结构,只需一根信号线和CPU相连。 2. 不需要外部元件,直接输出串行数据。 3. 可不需要外部电源,直接通过信号线供电,电源电压范围为3.3V~5V。 4.测温精度高,测温范围为:一55℃~+125℃,在-10℃~+85℃范围内,精度为±O.5℃。5.测温分辨率高,当选用12位转换位数时,温度分辨率可达0.0625℃。 6.数字量的转换精度及转换时间可通过简单的编程来控制:9位精度的转换时间为93.75 ms:10位精度的转换时间187.5ms:12位精度的转换时间750ms。 7.具有非易失性上、下限报警设定的功能,用户可方便地通过编程修改上、下限的数值。8.可通过报警搜索命令识别哪片DS18820采集的温度超越上、下限。 DS18B20引脚图 DS18B20的常用封装有3脚、8脚等几种形式,如图1所示。: 各脚功能描述如下: DQ:数字信号输入/输出端。 GND:电源地端。 VDD:外接供电电源输入端(在寄生电源接线时此脚应接地) DS18B20内部结构简要介绍: DS18820的内部结构如图3所示:主要有64位光刻ROM、温度传感器、非易失性温度报警触发器TH和TL、配置寄存器等组成。 1.64位光刻ROM是生产厂家给每一个出厂的DS18820命名的产品序列号,可以看作为该器件的地址序列号。其作用是使每一个出厂的DS18820地址序列号都各不相同,这样,就可以实现一根总线上挂接多个DS18820的目的。 2.DS18820中的温度传感器完成对温度的测量,输出格式为:16位符号扩展的二进制补码。当测温精度设置为12位时,分辨率为O.0625℃,即O.0625℃/LSB。其二进制补码格 式如图2所示。

温度传感器技术条件

NTC热敏电阻温度传感器 Q/HKT01-2001 1.范围 本标准规定了NTC热敏电阻温度传感器的分类,技术要求,试验方法,检验规则及标志,包裹,运输与贮存。 2.引用标准 下列标准包含的条文,通过在本标准中引用成为标准的条文。在本标准出版时,所示版本均为有效。所有标准都会被修订。使用标准的各方应探讨,使用下列标准的最新标准的可能性。 GB/T2423.1-1989 电工电子产品基本环境及试验规程,试验A:低温试验方法;GB/T2423.2-1989 电工电子产品基本环境及试验规程,试验B:高温试验方法;GB/T2423.3-1989 电工电子产品基本环境及试验规程,试验Ca:恒定湿热试验方法; GB/T2423.8-1995 电工电子产品环境.第二部分,试验方法,试验Ed:自由落体;GB/T2423.10-1995 电工电子产品基本环境,第二部分,试验方法:试验Fc和导则,振动(正弦); GB/T2423.17-1993电工电子产品基本环境及试验规程,试验Ka:盐雾试验方法;GB/T2423.22-1987电工电子产品基本环境及试验规程,试验N:温度变化试验方法; GB/T2423.29-1982电工电子产品基本环境及试验规程,第二部分,试验I:引出端及整体安装件强度; GB/6663-1986直热式负温度系数热敏电阻器总规范; GB/6664-1986直热式负温度系数热敏电阻器空白详细规范,评定水平; GB/2828-1987逐批检查计数抽样程序及抽样表(适用于连续批的检查); GB/2819-1987周期检查计数抽样程序及抽样表(适用于生产过程稳定性的检查)。 3.型号及含义 K □□□□□□□□□□ ①②③④⑤⑥⑦ ①公司标志; ②NTC热敏电阻类型: C:片式工作温度:-30℃~ +90℃; H:玻封二极管型工作温度: -30℃~ +200℃;

温度传感器DS18B20工作原理

温度传感器: DS18B20是DALLAS公司生产的一线式数字温度传感器,具有3引脚TO-92小体积封装形式;温度测量范围为-55℃~+125℃,可编程为9位~12位A/D转换精度,测温分辨率可达0.0625℃,被测温度用符号扩展的16位数字量方式串行输出;其工作电源既可在远端引入,也可采用寄生电源方式产生;多个DS18B20可以并联到3根或2根线上,CPU只需一根端口线就能与诸多DS18B20通信,占用微处理器的端口较少,可节省大量的引线和逻辑电路。以上特点使DS18B20非常适用于远距离多点温度检测系统。 2 DS18B20的内部结构 DS18B20内部结构如图1所示,主要由4部分组成:64位ROM、温度传感器、非挥发的温度报警触发器TH和TL、配置寄存器。DS18B20的管脚排列如图2所示,DQ为数字信号输入/输出端;GND为电源地;VDD为外接供电电源输入端(在寄生电源接线方式时接地,见图4)。 ROM中的64位序列号是出厂前被光刻好的,它可以看作是该DS18B20的地址序列码,每个DS18B20的64位序列号均不相同。64位ROM的排的循环冗余校验码(CRC=X8+X5+X4+1)。ROM的作用是使每一个DS18B20都各不相同,这样就可以实现一根总线上挂接多个DS18B20的目的。 图1 DS18B20的内部结构

图2DS18B20的管脚排列 DS18B20中的温度传感器完成对温度的测量,用16位符号扩展的二进制补码读数形式提供,以0.0625℃/LSB形式表达,其中S为符号位。例如+125℃的数字输出为07D0H,+25.0625℃的数字输出为0191H,-25.0625℃的数字输出为FF6FH,-55℃的数字输出为FC90H。 温度值高字节 高低温报警触发器TH和TL、配置寄存器均由一个字节的EEPROM组成,使用一个存储器功能命令可对TH、TL或配置寄存器写入。其中配置寄存器的格式如下: R1、R0决定温度转换的精度位数:R1R0=“00”,9位精度,最大转换时间为93.75ms;R1R0=“01”,10位精度,最大转换时间为187.5ms;R1R0=“10”,11位精度,最大转换时间为375ms;R1R0=“11”,12位精度,最大转换时间为750ms;未编程时默认为12位精度。 高速暂存器是一个9字节的存储器。开始两个字节包含被测温度的数字量信息;第3、4、5字节分别是TH、TL、配置寄存器的临时拷贝,每一次上电复位时被刷新;第6、7、8字节未用,表现为全逻辑1;第9字节读出的是前面所有8个字节的CRC码,可用来保证通信正确。 3 DS18B20的工作时序 DS18B20的一线工作协议流程是:初始化→ROM操作指令→存储器操作指令→数据传输。其工作时序包括初始化时序、写时序和读时序,如图3(a)(b)(c)所示。

热电阻温度传感器规范

热电阻温度传感器总规范SJ 20722-1998 中华人民共和国电子行业军用标准 热电阻温度传感器总规范SJ 20722-1998 General specification for temperature transducers for thermal resistance 1范围 1.1主题内容 本规范规定了军用温度传感器的通用要求、质量保证规定、试验方法和包装、贮存、运输要求。 1.2适用范围 本规范适用于热电阻温度传感器(以下简称传感器),其它温度传感器亦可参照采用。 1.3分类 按金属热电阻的种类划分如下: a.铂电阻; b.铜电阻; c.镍电阻; d.合金电阻; e.其它。 2引用文件 GB 191一90 包装储运图示标志 GB 7665—87传感器通用术语 GB 7666—87传感器命名方法及代号 GJB 145A一93封存包装通则 GJB 150.1—86军用设备环境试验方法总则 GJB 150.3—86军用设备环境试验方法高温试验 GJB 150.4—86军用设备环境试验方法低温试验 GJB 150.5—86军用设备环境试验方法温度冲击试验 GJB 150.9- 86军用设备环境试验方法湿热试验 GJB 150.10-86军用设备环境试验方法霉菌试验 GJB 150.11—86军用设备环境试验方法盐雾试验 GJB 150.16—86军用设备环境试验方法振动试验

GJB 150.18—86军用设备环境试验方法冲击试验 GJB 150.20—86军用设备环境试验方法飞机炮振试验 GJB 179A—96计数抽样检查程序及表 GJB 2712—96测量设备的质量保证要求计量确认体系 JJG 1007—87温度计量名词术语 3要求 3.1详细规范 传感器的个性要求应符合本规范和相应详细规范的规定。如果本规范的要求和详细规范的要求相抵触,应以详细规范为准。 3.2合格鉴定 按本规范提交的传感器应是经鉴定合格或定婆}批准的产品。 3.3材料 应使用能使传感器满足本规范性能要求的材料,并在详细规范中规定要求。 3.3.1金属 传感器所用的金属材料应能耐腐蚀。 3.3.2非金属 各种非金属材料在本规范规定的环境条件下使用时,不应危害人员的健康。 3.4设计和结构 传感器的设计、结构和物理尺寸应符合规定(见3.1)。 3.5测温范围 传感器的测温范围应符合规定(见3.1)。 3.6允差(或准确度) 当按4.6.2规定进行试验时,传感器的允差(或准确度)应符合规定(见3.1)。 3.7绝缘电组 当按4.6.3规定进行试验时,传感器在正常环境条件下,各引出端与壳体或保护装置之间的绝缘电阻应不小于20MΩ(1OOV DC)。 3.8热响应时间(适用时) 当按4.6.4规定进行试验时,传感器的热响应时间应符合规定(见3.1)。 3.9自热(适用时) 当按4.6.5规定进行试验时,传感器产生不超过0.30℃自热温升的最大耗散功率值应符合规定(见3.1)。 3.10高温 当按4.6.6规定进行试验后,传感器的外观应无可见损伤,传感器允差(或准确度)应符合规定(见3.1)。3.11低温

DS18B20数字温度传感器要点

DS18B20数字温度传感器接线方便,封装成后可应用于多种场合,如管道式,螺 纹式,磁铁吸附式,不锈钢 封装式,型号多种多样,有LTM8877,LTM8874等等。主要根据应用场合的不同而改变其外观。封装后的DS18B20可用于电缆沟测温,高炉水循环测温,锅炉测温,机房测温,农业大棚测温,洁净室测温,弹药库测温等各种非极限温度场合。耐磨耐碰,体积小,使用方便,封装形式多样,适用于各种狭小空间设备数字测温和控制领域。 1: 技术性能描述 ①、独特的单线接口方式,DS18B20在与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。 ②、测温范围-55℃~+125℃,固有测温误差(注意,不是分辨率,这里之前是错误的)1℃。 ③、支持多点组网功能,多个DS18B20可以并联在唯一的三线上,最多只能并联8个,实现多点测温,如果数量过多,会使供电电源电压过低,从而造成信号传输的不稳定。 ④、工作电源: 3.0~5.5V/DC (可以数据线寄生电源) ⑤、在使用中不需要任何外围元件 ⑥、测量结果以9~12位数字量方式串行传送 ⑦、不锈钢保护管直径Φ6 ⑧、适用于DN15~25, DN40~DN250各种介质工业管道和狭小空间设备测温 ⑨、标准安装螺纹 M10X1, M12X1.5, G1/2”任选 ⑩、PVC电缆直接出线或德式球型接线盒出线,便于与其它电器设备连接。[1]信息DS18B20+ 和 Maxim Integrated Manufactured by Maxim Integrated, DS18B20+ is a 温度传感器. 3应用范围编辑 2.1 该产品适用于冷冻库,粮仓,储罐,电讯机房,电力机房,电缆线槽等测温和控制领域 2.2 轴瓦,缸体,纺机,空调,等狭小空间工业设备测温和控制。 2.3 汽车空调、冰箱、冷柜、以及中低温干燥箱等。 2.4 供热/制冷管道热量计量,中央空调分户热能计量和工业领域测温和控制 4型号规格编辑 型号测温范围安装螺纹电缆长度适用管道 TS-18B20 -55~125 无 1.5 m TS-18B20A -55~125 M10X1 1.5m DN15~25 TS-18B20B -55~125 1/2”G 接线盒 DN40~ 60

温度传感器的连接与信号获取

情景五 温度传感器的连接与信号获取 任务1:炉温检测 5.1.1任务目标 使学生了解炉温检测器件、测温范围和测温电路。 5.1.2任务内容 针对炉温检测要求,确定温度传感器。分析制定安装位置、实施效果检测方案,成本分析。学生现场安装、连接和调测传感器电路。 5.1.3知识点 热电偶传感器是一种自发电式传感器,测量时不需要外加电源,直接将被测量转换成电势输出。使用十分方便,常被用作测量炉子、管道内的气体或液体的温度及固体的表面温度。它的测温范围很广,常用的热电偶测温范围为-50℃~+1600℃,某些特殊热电偶最低可测-270℃,最高可达+2800℃。 它具有结构简单、制造方便、测量范围广、精度高、惯性小和输出信号便于远传等许多优点。 一、热电偶的外形结构、种类和特性 (一)常用热电偶的外形 各种普通装配型热电偶的外形如下图所示。 各种普通装配型热电偶 接线盒 引出线套管 不锈钢保护套管 热电偶工作端 固定螺纹

各种铠装型热电偶的外形如下图所示。 各种防爆型热电偶的外形如图所示。 (二)热电偶的结构 接线盒固定装置 B -B 金属导管绝缘材料 A 放大 A B B 各种防爆型热电偶 (a ) (b ) 热电偶的结构 (a )普通热电偶;(b )铠装热电偶 各种铠装型热电偶

(三)热电偶的分类 1.热电偶的结构分类: (1)普通热电偶: 普通热电偶一般由热电极、绝缘套管、保护套管和接线盒等几部分组成。常用于测量气体、蒸气和各种液体等介质的温度。 (2)铠装热电偶: 铠装热电偶又称缆式热电偶,此种热电偶是将热电极、绝缘材料连同保护管一起拉制成型,经焊接密封和装配等工艺制成的坚实的组合体。可做得很细、很长,可弯曲,外径小到1~3mm。主要特点是测量端热容量小、动态响应快、绕性好、强度高。 2.热电偶的种类: (1)标准型热电偶: 标准型热电偶是指国家标准规定了其热电势与温度的关系、允许误差、并有统一的标准分度表的热电偶。标准热电偶有配套显示仪表可供选用。 国际电工委员会(IEC)向世界各国推荐了8种热电偶作为标准型热电偶。表2-1是它们的基本特性。热电偶名称的含义如下: 标准型热电偶及基本特性

DS18B20温度传感器工作原理及其应用电路图

DS18B20温度传感器工作原理及其应用电路图 时间:2012-02-16 14:16:04 来源:赛微电子网作者: 前言 温度与工农业生产密切相关,对温度的测量和控制是提高生产效率、保证产品质量以及保障生产安全和节约能源的保障。随着工业的不断发展,由于温度测量的普遍性,温度传感器的市场份额大大增加,居传感器首位。数字化温度传感器DS18B20是世界上第一片支持“一线总线”接口的温度传感器。现在,新一代的DS18B20温度传感器体积更小、更经济、更灵活。DS18B20温度传感器测量温度范围为-55℃~+125℃。在-10℃~+85℃范围内,精度为±0.5℃。现场温度直接以“一线总线”的数字方式传输,大大提高了系统的抗干扰性。基于DS18B20温度传感器的重要性,小编整理出DS18B20温度传感器工作原理及其应用电路图供大家参考。 一、DS18B20温度传感器工作原理(热电阻工作原理) DS18B20温度传感器工作原理框图如图所示: DS18B20温度传感器工作原理框图 图中低温度系数晶振的振荡频率受温度影响很小,用于产生固定频率的脉冲信号送给计数器1。高温度系数晶振随温度变化其振荡频率明显改变,所产生的信号作为计数器2的脉冲输入。计数器1和温度寄存器被预置在-55℃所对应的一个基数值。计数器1对低温度系数晶振产生的脉冲信号进行减法计数,当计数器1的预置值减到0时,温度寄存器的值将加1,计数器1的预置将重新被装入,计数器1重新开始对低温度系数晶振产生的脉冲信号进行计数,如此循环直到计数器2计数到0时,停止温度寄存器值的累加,此时温度寄存器中的数值即为所测温度。斜率累加器用于补偿和修正测温过程中的非线性,其输出用于修正计数器1的预置值。 二、DS18B20温度传感器的应用电路 1.DS18B20温度传感器寄生电源供电方式电路图 寄生电源方式特点: (1)进行远距离测温时,无须本地电源。 (2)可以在没有常规电源的条件下读取ROM。 (3)电路更加简洁,仅用一根I/O口实现测温。 (4)只适应于单一温度传感器测温情况下使用,不适于采用电池供电系统中。

各种温度传感器分类及其原理.

各种温度传感器分类及其原理

各种温度传感器分类及其原理 温度传感器是检测温度的器件,其种类最多,应用最广,发展最快。众所周知,日常使用的材料及电子元件大部分特性都随温度而变化,在此我们暂时介绍最常用的热电阻和热电偶两类产品。 1.热电偶的工作原理 当有两种不同的导体和半导体A和B 组成一个回路,其两端相互连接时,只要两结点处的温度不同,一端温度为T,称为工作端或热端,另一端温度为TO,称为自由端(也称参考端或冷端,则回路中就有电流产生,如图2-1(a所示,即回路中存在的电动势称为热电动势。这种由于温度不同而产生电动势的现象称为塞贝克效应。 与塞贝克有关的效应有两个:其一,当有电流流过两个不同导体的连接处时,此处便吸收或放出热量(取决于电流的方向, 称为珀尔帖效应;其二,当有电流流过存在温度梯度的导体时,导体吸收或放出热量(取决 于电流相对于温度梯度的方向,称为汤姆逊效应。两种不同导体或半导体的组合称为热电偶。热电偶的热电势EAB(T,T0 是由接触电势和温差电势合成的。接触电势是指两种不同 的导体或半导体在接触处产生的电势,此电势与两种导体或半导体的性质及在接触点的温度有关。 温差电势是指同一导体或半导体在温度不同的两端产生的电势, 此电势只与导体或半导体的性质和两端的温度有关,而与导体的长度、截面大小、沿其长度方向的温度分布无关。 无论接触电势或温差电势都是由于集中于接触处端点的电子数不同而产生的电势:热电偶测量的热电势是二者的合成。当回路断开时,在断开处a,b 之间便有一电动势差△ V,其极性和大小与回路中的热电势一致,如图 2-1(b所示。并规定在冷端,当电流由A流向B时,称A为正极,B 为负极。实验表明,当△ V很小时,△ V与厶T成正比关系。定义△ V对厶T 的微分热电势为热电势率,又称塞贝克系数。

全面了解数字温度传感器规范

全面了解数字温度传感器规范 为了实现最佳性能并确保系统稳健性,就必须要进行系统监控测量。其中一个必需的典型测量项目就是环境温度。使用简单的数字温度传感器进行该测量将为系统设计人员提供如下保证:组件正常工作,系统处于其性能或校准限值范围内,不会使用户遇到危险。 测量结束后,通常由系统中的微控制器对环境温度进行相应调整。系统监控微控制器可以改变风扇速度、关闭非必要系统进程或使系统智能进入省电模式。系统设计人员需全面正确地了解数字温度传感器规范以设计系统,并就测量结果采取最佳措施。另外,全面了解传感器规范将确保在选择数字温度传感器器件时,可做到权衡得当。 当选择数字温度传感器(也称作串行输出温度传感器)时,应考虑的主要规范包括精度、分辨率、功耗、接口和封装。 精度 数字温度传感器精度表示传感器读数和系统实际温度 之间的误差。在产品说明书中,精度指标和温度范围相对应。通常针对不同温度范围,有数个最高精度指标。对于25~

+100℃温度范围来说,±2℃精度是很常见的。Analog Device 公司的ADT75、Maxim公司的DS75、National公司的LM75以及TI的TMP75均具有这种精度节点。但是,还有更高精度的器件。例如,TI的TMP275在120~100℃温度范围内的精度为±0.5℃。 虽然温度精度指标是非常重要的,然而对系统监控应用来说,它并非一定是最为关键的因素。这些应用更重视检测温度变化,而不是确定温度绝对值。 分辨率 数字温度传感器分辨率是描述传感器可检测温度变化细微程度的指标。集成于封装芯片的温度传感器本身就是一种模拟传感器。因此所有数字温度传感器均有一个模数转换器(ADC)。ADC分辨率将决定器件的总体分辨率,分辨率越高,可检测到的温度变化就越细微。 在产品说明书中,分辨率是采用位数和摄氏温度值来表示的。当采用位数来考虑分辨率时,必须多加注意,因为该值可能包括符号位,也可能不包括符号位。此外,该器件的内部电路可能以不同于传感器总体温度范围的值,来确定内部ADC的满量程范围。以摄氏度来表示的分辨率是一种更直接分辨率值,采用该数值可进行设计分析。

DS18B20温度传感器使用方法以及代码

第7章DS18B20温度传感器 7.1 温度传感器概述 温度传感器是各种传感器中最常用的一种,早起使用的是模拟温度传感器,如热敏电阻,随着环境温度的变化,它的阻值也发生线性变化,用处理器采集电阻两端的电压,然后根据某个公式就可以计算出当前环境温度。随着科技的进步,现代的温度传感器已经走向数字化,外形小,接口简单,广泛应用在生产实践的各个领域,为我们的生活提供便利。随着现代仪器的发展,微型化、集成化、数字化、正成为传感器发展的一个重要方向。美国DALLS半导体公司推出的数字化温度传感器DS18B20采用单总线协议,即单片机接口仅需占用一个I/O端口,无需任何外部元件,直接将环境温度转化为数字信号,以数码方式串行输出,从而大大简化了传感器与微处理器的接口。7.2 DS18B20温度传感器介绍 DS18B20是美国DALLAS半导体公司继DS1820之后最新推出的一种改进型智能温度传感器。与传统的热敏电阻相比,他能够直接读出被测温度并且可根据实际要求通过简单的编程实现9~12位的数字值读数方式。可以分别在93.75 ms和750 ms内完成9位和12位的数字量,并且从DS18B20读出的信息或写入DS18B20的信息仅需要一根口线(单线接口)读写,温度变换功率来源于数据总线,总线本身也可以向所挂接的DS18B20供电,而无需额外电源。因而使用

DS18B20可使系统结构更趋简单,可靠性更高。他在测温精度、转换时间、传输距离、分辨率等方面较DS1820有了很大的改进,给用户带来了更方便的使用和更令人满意的效果。 1.DS18B20温度传感器的特性 ①独特的单线接口方式:DS18B20与微处理器连接时仅需要一条口线即可实现微处理器与DS18B20的双向通讯。 ②在使用中不需要任何外围元件。 ③可用数据线供电,电压范围:+3.0~ +5.5 V。 ④测温范围:-55 ~+125 ℃。固有测温分辨率为0.5 ℃。 ⑤通过编程可实现9~12位的数字读数方式。 ⑥用户可自设定非易失性的报警上下限值。 ⑦支持多点组网功能,多个DS18B20可以并联在惟一的三线上,实现多点测温。 ⑧负压特性,电源极性接反时,温度计不会因发热而烧毁,但不能正常工作。 2.引脚介绍 DS18B20有两种封装:三脚TO-92直插式(用的最多、最普遍的封装)和八脚SOIC贴片式。下图为实验板上直插式DS18B20的原理图。 3.工作原理 单片机需要怎样工作才能将DS18B20中的温度数据独取出来呢?下面将给出详细分析。

光纤布拉格光栅温度传感器响应

目录 1 绪论 (1) 1.1 研究目的及意义 (1) 1.2 光纤光栅发展历史 (2) 1.3 光纤光栅传感的优点 (3) 1.4 光纤光栅传感的发展和应用情况 (4) 1.5 存在的问题 (6) 1.6 论文的主要内容及工作 (7) 2. 光纤光栅的简介 (8) 2.1 光纤光栅的分类 (8) 2.2 光纤光栅高温传感器的封装工艺研究 (10) 2.2.1 现有封装工艺分析 (10) 2.2.2 光纤光栅高温传感器的封装工艺 (12) 2.3 光纤光栅制作技术 (13) 2.3.1 干涉写入法 (13) 2.3.2 逐点写入法 (14) 2.3.3 组合写入法 (14) 3. 光纤布拉格光栅传感原理 (16) 3.1 光纤光栅传感原理 (16) 3.2 光纤布拉格光栅耦合模理论 (17) 3.2.1 光纤布拉格光栅特性 (17) 3.2.2 耦合模理论[26] (19) 3.3 光纤布拉格光栅温度传感原理[28] (25) 3.4 FBG温度传感器的响应时间 (27) 3.4 光纤布拉格光栅解调技术 (30) 3.4.1 非平衡M-Z光纤干涉仪法 (30) 3.4.2 可调谐光纤F-P滤波法 (32) 3.4.3 匹配光栅法 (32) 4. 系统的设计 (34)

4.1 光纤光栅温度传感系统 (34) 4.2 高温测试的分析 (34) 4.3 FBG温度传感器响应时间的测试 (35) 4.4 实验仿真 (36) 5 结论 (43) 参考文献 (44) 致谢 (46)

1 绪论 1.1 研究目的及意义 光纤传感技术是伴随着光导纤维及光纤通信技术发展而迅速发展起来的一种以光为载体、光纤为媒质、感知和传输外界信号(被测量)的新型传感技术。光纤布拉格光栅是用光纤布拉格光栅(FBG)作敏感元件的功能型光纤传感器,以其抗电磁干扰、灵敏度高、体积小等优点,越来越广泛应用于传感器领域。将其埋入材料或者结构,以通过光纤布拉格光栅传感器的传感特性监测内部的物理变化如应变、温度、压力,进行全面有效的在线实时监测,增加对材料制造过程中以及工作期间的状态透明度。与传统的传感器相比,光纤光栅传感器具有自己独特的优点: 1.传感头结构简单、体积小、重量轻、外形可变,可测量结构内部的应力、应变及结构损伤等,稳定性、重复性好; 2.易与光纤连接、低损耗、光谱特性好、可靠性高; 3.具有非传导性,对被测介质影响小,又具有抗腐蚀、抗电磁干扰的特点,适合在恶劣环境中工作; 4.轻巧柔软,可以在一根光纤中写入多个光栅,构成传感阵列,与波分复用和时分复用系统相结合,实现分布式传感; 5.光纤光栅传感器不受光源的光强波动、光纤连接及祸合损耗、以及光波偏振态的变化等因素的影响,有较强的抗干扰能力; 6.高灵敏度、高分辨力。 正是由于这些独特的优点,使得光纤布拉格光栅已成为目前最具有发展前途,最具有代表性的光纤无源器件之一,其应用领域也日渐扩展。 温度传感是光纤布拉格光栅传感器最重要的应用之一。光纤布拉格光栅反射波长的漂移量是其在温度传感理论中的重要参数。作为温度传感元件,人们希望光纤布拉格光栅具有大的温度灵敏度,以期获得高的温度分辨率。然而,由于光纤光栅材料的热光系数和热膨胀系数都较小,光纤光栅的温度灵敏度非常低,并且裸光栅本身易损坏,这些问题严重影响着光纤光栅在传感领域的应用。并且,光纤布拉格传感器在进行高温测试时能测量的温度有所局限,不能满足目前某些特定领域的测量。因此,为了解决这些问题,本课题着重对用光纤布拉格传感器应用到高温测试以及光纤布拉格温度传感器响应

基于DS18B20的温度传感器设计报告

目录 一、概述 (2) 二、内容 (2) 1、课程设计题目 (2) 2、课程设计目的 (2) 3、设计任务和要求 (2) 4、正文 (3) (一)、方案选择与论证 (3) 三、系统的具体设计与实现 (5) (1)、系统的总体设计方案 (5) (2)、硬件电路设计 (5) a、单片机控制模块 (5) b、温度传感器模块 (5) 四、软件设计 (11) 1、主程序 (11) 2、读出温度子程序 (11) 3、温度转换命令子程序 (11) 4、计算温度子程序 (12) 五、完整程序如下: (12) 六、设计体会 (17) 七、参考文献 (17)

一、概述 单片机技术是一项运用广泛且极具发展潜力的技术。 2009年6月14日随着时代的进步和发展,单片机技术已经普及到我们生活、工作、科研、各个领域,已经成为一种比较成熟的技术。 本文主要介绍了一个基于89S52单片机的测温系统,详细描述了利用液晶显示器件传感器DS18B20开发测温系统的过程,重点对传感器在单片机下的硬件连接,软件编程以及各模块系统流程进行了详尽分析,特别是数字温度传感DS18B20的数据采集过程。对各部分的电路也一一进行了介绍,该系统可以方便的实现实现温度采集和显示,并可根据需要任意设定上下限报警温度,它使用起来相当方便,具有精度高、量程宽、灵敏度高、体积小、功耗低等优点,适合于我们日常生活和工、农业生产中的温度测量,也可以当作温度处理模块嵌入其它系统中,作为其他主系统的辅助扩展。DS18B20与AT89C52结合实现最简温度检测系统,该系统结构简单,抗干扰能力强,适合于恶劣环境下进行现场温度测量,有广泛的应用前景。 二、内容 1、课程设计题目 基于DS18B20的温度传感器 2、课程设计目的 通过基于MCS-52系列单片机AT89C52和DS18B20温度传感器检测温度,熟悉芯片的使用,温度传感器的功能,数码显示管的使用,汇编语言的设计;并且把我们这一年所学的数字和模拟电子技术、检测技术、单片机应用等知识,通过理论联系实际,从题目分析、电路设计调试、程序编制调试到传感器的选定等这一完整的实验过程,培养了学生正确的设计思想,使学生充分发挥主观能动性,去独立解决实际问题,以达到提升学生的综合能力、动手能力、文献资料查阅能力的作用,为毕业设计和以后工作打下一个良好的基础。 3、设计任务和要求 以MCS-52系列单片机为核心器件,组成一个数字温度计,采用数字温度传感器DS18B20为检测器件,进行单点温度检测,检测精度为±0.5摄氏度。温度显示采用LCD1602显示,两位整数,一位小数。

相关主题
文本预览
相关文档 最新文档