当前位置:文档之家› 北京工业大学 计算机组成原理 双向移位寄存器报告

北京工业大学 计算机组成原理 双向移位寄存器报告

北京工业大学 计算机组成原理 双向移位寄存器报告
北京工业大学 计算机组成原理 双向移位寄存器报告

双向移位寄存器

姓名:xxx xxx

组号:15

学号:110703xx 110703xx 实验日期:2013/05/22

报告完成日期:2013/05/23

一实验目的

1. 学习掌握Quartus软件的基本操作;

2. 理解双向移位寄存器的工作原理和过程;

3. 设计出双向移位寄存器并对设计的正确性进行验证。

二实验内容

1. 能移位的暂存器实验(选作)。要求:

(1) 用图形方式设计能移位的暂存器电路原理图,分别实现左移、逻辑右移和算术右移。

(2) 测试波形要用时序仿真实现,测试数据不要全为0也不要全为1,算术右移的测试数据要求为负数(即符号位为1)。

(3) 将设计文件封装成器件符号。

(4) 数据的宽度最好为16位。

三设计思路

①.用两片8位双向移位寄存器74198拼接成16位双向移位寄存器,

用两片74244控制数据的三态输出;

②.定义一条线LR结合与门,控制实现逻辑左、右移和算数左、右移;

③.逻辑左、右移时低、高、位均为补0;

④.算术左移时低位补零,右移时高位补最高位(符号位);

⑤.最终高位补位由来控制,低位补位由

控制

四实验结果

1.器件连接图

2.器件封装图

五实验小结

在决定选做这个实验的时候,我对算术、逻辑位移的概念比较生疏了。通过在课下对知识的复习,还有对实验课本上表格的总结,最终我成功设计出了能够控制补位的与门,按要求完成了实验。

寄存器实验报告

寄存器实验报告

一、实验目的 1. 了解寄存器的分类方法,掌握各种寄存器的工作原理; 2. 学习使用V erilog HDL 语言设计两种类型的寄存器。 二、实验设备 PC 微机一台,TD-EDA 实验箱一台,SOPC 开发板一块。 三、实验内容 寄存器中二进制数的位可以用两种方式移入或移出寄存器。第一种方法是以串行的方式将数据每次移动一位,这种方法称之为串行移位(Serial Shifting),线路较少,但耗费时间较多。第二种方法是以并行的方式将数据同时移动,这种方法称之为并行移位(Parallel Shifting),线路较为复杂,但是数据传送的速度较快。因此,按照数据进出移位寄存器的方式,可以将移位寄存器分为四种类型:串行输入串行输出移位寄存器(Serial In- Serial Out)、串行输入并行输出移位寄存器(Serial In- Parallel Out)、并行输入串行输出移位寄存器(Parallel In- Serial Out)、并行输入并行输出移位寄存器(Parallel In-Parallel Out)。 本实验使用V erilog HDL 语言设计一个八位并行输入串行输出右移移位寄存器(Parallel In- Serial Out)和一个八位串行输入并行输出寄存器(Serial In- Parallel Out),分别进行仿真、引脚分配并下载到电路板进行功能验证。 四、实验步骤 1.并行输入串行输出移位寄存器实验步骤 1). 运行Quartus II 软件,选择File New Project Wizard 菜单,工程名称及顶层文件名称为SHIFT8R,器件设置对话框中选择Cyclone 系列EP1C6Q240C8 芯片,建立新工程。 2.) 选择File New 菜单,创建V erilog HDL 描述语言设计文件,打开文本编辑器界面。 3.) 在文本编辑器界面中编写V erilog HDL 程序,源程序如下: module SHFIT8R(din,r_st,clk,load,dout); input [7:0]din; input clk,r_st,load; output dout; reg dout; reg [7:0]tmp; always @(posedge clk) if(!r_st) begin dout<=0; end else begin if(load) begin tmp=din; end else

华中科技大学激光原理2002-2015历年真题

华科考研激光原理2002--2015真题 2015年(839) 一、简单 1、激光产生的必要条件? 2、激光的四种特性?选择一种说明其用途 3、谐振腔的稳区图,并写明稳定腔和非稳腔的位置 4、四能级系统速率方程和图示 二、共焦腔与一般稳定腔的对应计算 三、行波腔的均匀加宽和多普勒加宽的最大输出功率计算 四 2015激光原理(900) 一、简答题 1、△n 大于0,激光器是否能够产生自激振荡? 2、光学谐振腔的结构和作用 3、共焦腔与一般腔的等价性 4、均匀加宽与非均匀加宽的特点 5、连续激光器从开始振荡到产生稳定输出增益系数的变化情况 6、光学模式以及横模和纵模 二、三能级四能级的本质区别,以及为什么四能级更容易产生粒子数反转

三、三能级能级示意图,速率方程 四、稳定腔,非稳腔,临界腔计算判断(很简单) 五,光线传输矩阵相关的题 2014年 一.解释题 1.描述自然加宽和多普勒加宽的成因,说明他们属于什么加宽类型。(15) 2.描述一般稳定腔和对称共焦腔的等价性。(15) 3.增益饱和在连续激光器稳定输出中起什么作用? 谱线加宽是怎样影响增益饱和特性的?(15) 4.说明三能级系统和四能级系统的本质区别,哪个系统更容易形成粒子数反转,为什么?(15) 二.解答题 1. 一个折射率为η,厚度为d 的介质放在空气中,界面是曲率半径为R 的凹面镜和平面镜。 (1)求光线从空气入射到凹面镜并被凹面镜反射的光线变换矩阵。 (2)求光线从凹面镜进入介质经平面镜反射再从凹面镜射出介质的光线变换矩阵。 (3)求光线从凹面镜进入介质再从平面镜折射出介质的光线变换矩阵。(25) 2. 圆形镜共焦腔的腔长L=1m ,(1)求纵模间隔q υ?,横模间隔m υ?,n υ?. (2)若在增益阈值之上的增益线宽为60Mhz ,问腔内是否可能存在两个以上的纵模震荡,为什么?(25) 3. 虚共焦型非稳腔的腔长L=0.25m ,由凹面镜M1和凸面镜M2组成,M2的曲率半径和直径为m R 12-=,cm a 322=,若M2的尺寸不变,要求从M2单端输出,则M1的尺寸为多少;腔的往返放大率为多少。(20) 4. 某连续行波激光放大器,工作物质属于均匀加宽型,长度是L ,中心频率的小信号增益为m G ,初始光强为0I 中心频率饱和光强为s I ,腔内损耗系数为i α (m i G <<α),试证明有:

移位寄存器

双向移位寄存器的设计 一、实验目的 利用数字电路设计中移位寄存器的相关知识,通过课程设计更加深入的了解移位寄存器的功能。在计算机中常要求寄存器有移位功能。如在进行乘法时 要求将部分积右移在将并行传送的数转换成串行数时也需要移位。因此 移位寄存器的设计是必要的。 二、硬件要求 主芯片Altera EPM7128SLC84-15,时钟信号,拨码开关。 三、实验内容 设计一个双向移位寄存器 四、实验原理 用VHDL语言描述任意分频数的分频器,并实现占空比任意设置.每当系统时钟上升沿到来时,计数器就加计数一位(可任意设置为N位),当计数值到达预定值时就对分频时钟翻转.这样就会得到一个连续的时钟脉冲. 当移位信号到来时,移位寄存器就对存储的二进制进行移位操作.移位寄存方式可自行设置(可左移,右移,一位移,多位移位寄存)。 五、实验源程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity tdirreg is port (clk: in std_logic; din: in std_logic; dir : in std_logic; op_l: out std_logic; op_r: out std_logic); end tdirreg; architecture a of tdirreg is signal q: std_logic_vector(7 downto 0); begin process(clk) begin if clk'event and clk= '1'then if dir = '0' then q(0) <= din; for i in 1 to 7 loop q(i) <= q(i-1); end loop ; else q(7) <= din; for i in 7 downto 1 loop q(i-1) <=q(i); end loop ;

计数器和移位寄存器设计仿真实验报告.

实验四典型时序电路的功能测试与综合仿真报告 15291204张智博一.74LS290构成的24位计数器 方法:第一片74290的Q3与第二片的INB相连,R01,R02相连,INA,R91,R92悬空构成24位计数器。50Hz,5v方波电压源提供时钟信号,用白炽灯显示输出信号。 实验电路: 实验现象:

输出由000000变为000001,000010,000011,000100,001000,001001,001010,001011,001100,010001,010000,010010,010011,010100,011000,011001,011010,011011,011100,100000,100001,100010,100011,100100,最终又回到000000,实现一次进位。 二.74LS161构成的24位计数器 方法:运用多次置零法 用两片74LS161构成了24位计数器,两片计数器的时钟信号都由方波电压源提供,第一片芯片的Q3和第二片芯片的Q0通过与非门,构成两个74LS161的LOAD信号,第一片的CO接第二片的ENT,其他ENT和ENP接Vcc(5v)。输出接白炽灯。 电路图: 实验现象:以下为1—24的计数过程

三.74LS194构成的8位双向移位寄存器 方法:通过两片194级联,控制MA,MB的值,来控制左右移动 实验电路由两片74LS194芯片构成。两个Ma接在一起,两个Mb接在一起,第一片的Dr,第二片的Dl,分别通过开关接到Vcc(5v)上。第一片的Q3接到第二片的Dr,第二片的Q0接到第一片的Dl。8个输出端分别接白炽灯。 实验电路:

移位寄存器及其应用(精)

移位寄存器及其应用 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、原理说明 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。按代码的移位方向可分为左移、右移和可逆移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同又可分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图8-3-3-1所示。 其中 D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输入 C为直接无条件清零端; 端,S L为左移串行输入端;S1、S0为操作模式控制端;R CP为时钟脉冲输入端。 CC40194有5种不同操作模式:即并行送数寄存,右移(方向由Q0→Q3),左移(方向由Q3→Q0),保持及清零。 S1、S0和R C端的控制作用如表8-3-3-1。 图8-3-3-1 CC40194的逻辑符号及引脚功能 表8-3-3-1 CC40194功能表

2、移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和数据的串、并行转换。 (1)环形计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位, 如图8-3-3-2所示,把输出端 Q3和右移串行输入端S R 相连接,设初始状态Q0Q1Q2Q3=1000,则在时钟脉冲作用下Q0Q1Q2Q3将依次变为0100→0010→0001→1000→……,如表10-2所示,可见它是一个具有四个有效状态的计数器,这种类型的计数器通常称为环形计数器。图8-3-3-2 电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。其状态表如表8-3-3-2所示。 表8-3-3-2 环形计数器状态表 图 8-3-3-2 环形计数器 如果将输出Q O与左移串行输入端S L相连接,即可达左移循环移位。 (2)实现数据串、并行转换 ①串行/并行转换器 串行/并行转换是指串行输入的数码,经转换电路之后变换成并行输出。 图8-3-3-3是用二片CC40194(74LS194)四位双向移位寄存器组成的七位串/并行数据转换电路。

华中科技大学激光原理考研题库及复习资料

华中科技大学《激光原理》考研题库及答案 1.试计算连续功率均为1W 的两光源,分别发射λ=0.5000m ,ν=3000MHz 的光,每秒从上能级跃迁到下能级的粒子数各为多少? 答:粒子数分别为:188346 341105138.210 31063.6105.01063.61?=????=? ?= =---λ ν c h q n 23 9 342100277.510 31063.61?=???==-νh q n 2.热平衡时,原子能级E 2的数密度为n 2,下能级E 1的数密度为n 1,设21g g =,求:(1)当原子跃迁时相应频率为ν=3000MHz ,T =300K 时n 2/n 1为若干。(2)若原子跃迁时发光波长λ=1 ,n 2/n 1=0.1时,则温度T 为多高? 答:(1)(//m n E E m m kT n n n g e n g --=)则有:1]300 1038.11031063.6exp[2393412≈?????-==---kT h e n n ν (2)K T T e n n kT h 36 23834121026.61.0]1011038.11031063.6exp[?=?=???????-==----ν 3.已知氢原子第一激发态(E 2)与基态(E 1)之间能量差为1.64×l0-18J ,设火焰(T =2700K)中含有1020个氢原子。设原子按玻尔兹曼分布,且4g 1=g 2。求:(1)能级E 2上的原子数n 2为多少?(2)设火焰中每秒发射的光子数为l08 n 2,求光的功率为多少瓦? 答:(1)1923 18 1221121011.3]2700 1038.11064.1exp[4----?=???-?=?=??n n e g n g n kT h ν 且202110=+n n 可求出312≈n (2)功率=W 918810084.51064.13110--?=??? 4.(1)普通光源发射λ=0.6000 m 波长时,如受激辐射与自发辐射光功率体

74LS194左右移位寄存器

74LS194左右移位寄存器 一、移位寄存器 在数字电路中,用来存放二进制数据或代码的电路称为寄存器。按功能 可分为:基本寄存器和移位寄存器。 移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。 二、74LS194双向移位寄存器 目前常用的集成移位寄存器种类很多,其中74LS194为四位双向移存器。 图一 74LS194移位寄存器的引脚图 以上为74LS194的引脚图,其中 D 0~D 3 :并行输入端; Q ~Q 3 :并行输出端; S 0、S 1 :操作模式控制端;:为直接无条件清零端; S R :右移串行输入端 S L :左移串行输入端; CP:时钟脉冲输入端;

表一 74LS194的模式控制和状态输出表 三、移位寄存器型计数器 利用移位寄存器可构成环形和扭环形计数器。可先使S 0=S 1 =1,并行输入预 置数值,再改变S 0和S 1 的电平,实现左移或右移状态。若把移位寄存器的输出 以一定方式反馈到串行输入D SR 端或D SL 端,就可以构成移位寄存器型计数器。 例如,将74LS194的Q 3接到D SR 端,可得到模4的环形计数器(不可自启动); 将Q 3端通过一个非门接到D SR 端,则可得到模8的扭环形计数器(不可自启动)。用一片74LS194及门电路构成一个课实现7分频或8分频器。7分频器的分 频信号由Q 2输出,同时将Q 2 、Q 3 输出通过与非门后接入D SR 端,S S 1 =10。8分频 器的分频信号由Q 3取非后输出,同时将该信号送入D SR 端,S S 1 =10。

移位寄存器 第三章答案

第三章习题参考答案 1.画出以1)(2 4 6 +++=x x x x f 为联接多项式的线性移位寄存器逻辑框图,及其对应的状态图。 解:由1)(2 46+++=x x x x f ,得反馈函数为531621),,,(x x x x x x f ++=Λ,故 (1)逻辑框图: (2)状态图: 状态圈-1: 状态圈-2: 状态圈-3: 状态圈-4: 状态圈-5: 状态圈-6: 状态圈-7: 状态圈-8:

状态圈-9: 状态圈-10: 状态圈-11: 状态圈-12: 2.已知图3-2所示的7级线性反馈移位寄存器: 图3-2 (1)绘出该移位寄存器的线性递推式,联接多项式及特征多项式。 (2)给出状态转移矩阵。 (3)设初态为(1 1 1 1 1 1 1),给出输出序列a 。 解:(1)由逻辑框图得,递推式为: k k k k a a a a ++=+++357 ()0≥k 。 联接多项式为:7 4 2 1)(x x x x f +++=。 特征多项式为:7531)(~ x x x x f +++=

(2)状态转移矩阵:? ? ???? ? ?? ? ? ??0100000 101000000010001000100 000001000000011000000。 (3)输出序列:)111111111(ΛΛ=- a 。 3.设5级线性反馈移位寄存器的联接多项式为1)(2 5 ++=x x x f ,初态为(10101)。求输出序列a 。 解:由联接多项式得,反馈函数为:41521),,,(x x x x x f +=Λ。故以)10101(为初态的状态转移图为: 10101 01010001010001000001100000100000100100100100110100110100110100110100111100111100111101111101111001110001110001110000110010110110111110101110101110101110101→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→→ 由此可得,输出序列为:=a 44444443444444421一个周期 0110100100000011111001010111011…。 4.证明:n 级线性反馈移位寄存器的状态转移变换是n 维线性空间n F 2上的线性变换。 证明:设f T 为n 级线性移位寄存器的状态转移变换,对n F 2,∈?βα,令),,,(110-=n a a a Λα, ),,,(110-=n b b b Λβ,有: ),,,(),,,()(121110∑=--==n i i n i n f f a c a a a a a T T ΛΛα, ),,,(),,,()(1 21110∑=--==n i i n i n f f b c b b b b b T T ΛΛβ。 ) ()() ,,,(),,,() )(,,,() ,,,()(1 211 2112211111100βαβαf f i n n i i i n n i i n i i n i n i n n f f T T b c b b a c a a b a c b a b a b a b a b a T T +=+=+++=+++=+-=-==----∑∑∑ΛΛΛΛ 对 2F k ∈?, ))((),,,(),,,()(1 21110ααf i n n i i n f f T k a c k ka ka ka ka ka T k T ===-=-∑ΛΛ。 故n 级线性反馈移位寄存器的状态转移变换是n 为线性空间n F 2上的线性变换。

锁模脉冲激光器概述

锁模脉冲激光器概述 张斌 北京工业大学 应用数理学院 010611班 指导教师:宋晏蓉 摘要 本文概述了锁模激光器的发展历史和发展方向、激光超短脉冲技术的分类及应用。 关键词 锁模,脉冲,激光器 一、引言 自从1964年第一台锁模激光器问世以来,超短脉冲激光器的研制工作已有了飞速发展,到目前为止已经可产生脉宽几个飞秒,峰值功率TW (1012瓦)级,激光波长从紫外到红外的全光谱范围的超短、超强脉冲激光器。缩短脉冲激光器脉冲宽度的方法主要经历了三次革新,即调Q 脉冲激光器阶段、主动、被动锁模激光器阶段和克尔锁模激光器阶段。随着超短脉冲激光技术的飞速发展,目前人们已能从克尔透镜锁模(KLM )的掺钛蓝宝石飞秒激光器中直接产生脉冲宽度不到两个光学周期的激光脉冲(对于800nm 的中心波长,一个光学周期约等于2.17fs )。同时在得到高峰值功率的脉冲输出方面也作了很多尝试,目前利用啁啾脉冲放大技术(CPA )所能获得的最高脉冲峰值功率已经突破了200TW [1]。由于输出的脉宽窄、峰值功率高、光谱范围宽这些特点,使超短脉冲激光器广泛应用于各个领域。如高峰值功率的脉冲激光器被用于产生高次谐波,用于“水窗”和X 射线的应用中。而高重复率的脉冲激光器在信息处理、通信(波分复用)、互联网及光全息技术、激光光谱等领域中均有广泛用途。也正是由于这些重要领域对超短光脉冲源的需求,促使从事激光领域研究的人们一直在不断努力探索,用各种手段,各种方法得到脉宽越来越窄,峰值功率越来越高,波长范围连续可调并覆盖全波段的相干光脉冲,并不断地改进其锁模方式和泵浦方式,使激光器向小型化、全固化方向发展。 二、锁模脉冲激光器的发展历史 自本世纪60年代第一台激光器诞生以来,由于此新型光源具有以前光源所不具有的优点,如单色性好、相干性好、高亮度等,使激光技术得到了飞速发展,其中发展的一个重要方向是缩短输出脉冲宽度,就锁模脉冲激光技术领域来研究,大致可以分为四个发展阶段: 60年代中期~为第一阶段,其特征是各种锁模理论的建立和各种锁模方法的试验探索。这属于超短激光脉冲的初始阶段。 s 910?s 1010?70年代中后期10-11~10-12s 为第二阶段,其特征是各种锁模方式和理论(如主动锁模、被动锁模、同步泵浦锁模等)逐步成熟,并在物理和化学领域展开了皮秒(10-12s )级的初步应用。 80年代为第三阶段,其主要特征是脉冲宽度已进入飞秒(10-15s )阶段。它是以所谓碰撞锁模染料激光器为主要代表,该激光器就其基本的锁模原理来说依然为被动锁模,在锁模机理和方法上并没有根本突破,但是由于脉冲的碰撞效应,使该激光器不仅能够产生,而且能够稳定地运转在飞秒量级。这展开了超快激光极其重要和十分活跃的新研究领域—飞秒激光技术与科学。 90 年代初开始了超短激光脉冲的第四阶段。这一阶段的主要特征并不表现脉冲宽度的进一步压缩,而是在产生飞秒激光的介质方面有新突破。具有突破性的研究是1991年,D. E. Spence [2]等人利

实验六移位寄存器的设计

实验六移位寄存器的设计 一、实验目的 1、掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2、熟悉移位寄存器的应用—实现数据的串行、并行转换和构成环形计数器。 二、实验预习要求 1、复习有关寄存器及串行、并行转换器有关内容。 2、查阅CC40194、CC4011及CC4068 逻辑线路。熟悉其逻辑功能及引脚排列。 3、在对CC40194进行送数后,若要使输出端改成另外的数码,是否一定要使寄存器清零? 4、使寄存器清零,除采用R C输入低电平外,可否采用右移或左移的方法?可否使用并行送数法?若可行,如何进行操作? 5、若进行循环左移,图6-4接线应如何改接? 6、画出用两片CC40194构成的七位左移串 /并行转换器线路。 7、画出用两片CC40194构成的七位左移并 /串行转换器线路。 三、实验设备及器件 1、+5V直流电源 2、单次脉冲源 3、逻辑电平开关 4、逻辑电平显示器 5、CC40194×2(74LS194)CC4011(74LS00) CC4068(74LS30) 四、设计方法与参考资料 1、移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图6-1所示。 其中D0、D1、D2、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;S R为右移串行输 C为直接无条件清零端; 入端,S L为左移串行输入端;S1、S0为操作模式控制端;R

8位双向移位寄存器电路设计

目录 摘要 (1) 1 多功能双向移位寄存器 (2) 1.1 基本工作原理 (2) 1.2 基本实现方案 (2) 2 电路图设计 (4) 2.1 电路结构 (4) 2.2 真值表 (4) 3 Verilog描述8位双向移位寄存器 (6) 4 程序仿真 (8) 5 总结 (10) 参考文献 (11)

摘要 移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。在本设计中,使用硬件描述语言Verilog,在EDA工具QuartussII中,设计8位双向移位寄存器硬件电路,根据设计语言进行功能时序仿真,验证设计的正确性与可行性。 关键字:Verilog QuartusII 移位寄存器

8位双向移位寄存器电路设计 1 多功能双向移位寄存器 1.1 基本工作原理 移位寄存器是基本的同步时序电路,基本的移位寄存器可以实现数据的串行/并行或并行/串行的转换、数值运算以及其他数据处理功能。在本设计中定义移位寄存器中的数据从低位触发器移向高位为右移,移向低位为左移。 为了扩展逻辑功能和增加使用的灵活性,某些双向移位寄存器集成电路产品 又附加了并行输入、并行输出等功能。如图1所示是上述几种工作模式的简化示意图。 并行输入 并行输出 右移串行输入(D IR 左移串行输出(D OL 右移串行输出(D OR ) D IL ) 0123 图1 多功能移位寄存器工作模式简图 1.2 基本实现方案 图2所示是实现数据保持、右移、左移、并行置入和并行输出的一种电路方 案。图中的D 触发器m FF 是N 为移位寄存器中的第m 位触发器,在其数据输入端插入了一个4选1数据选择器m MUX ,用2位编码输入10S S 、控制m MUX ,来选择触发器输入信号m D 的来源。当100S S ==时,选择该触发器本身输出的m Q , 次态为1m n n m m Q D Q +==,使触发器保持状态不变;当100,1S S ==时,触发器1m FF -的输出1m Q -被选中,故CP 脉冲上升沿到来时,m FF 存入1m FF -此前的逻辑值,即 1m 1n n m Q Q +-=,而1m +1n n m Q Q +=, 从而实现右移功能;类似地,当101,0S S ==时,m MUX 选择1m Q +,实现左移功能;而当101S S ==时,则选中并行输入数据m DI ,其次 态1 n m m Q DI +=,从而完成并行数据的置入功能。上述四种操作概述于表1,此外,

《激光原理与激光技术》习题答案完整版(北京工业大学出版社)

激光原理与激光技术习题答案 习题一 (1)为使氦氖激光器的相干长度达到1m ,它的单色性?λ/λ应为多大? 解: 1010 1032861000 106328--?=?=λ=λ λ?=.L R c (2) λ=5000?的光子单色性?λ/λ=10-7,求此光子的位置不确定量?x 解: λ=h p λ?λ=?2h p h p x =?? m R p h x 510 1050007 10 2=?=λ=λ?λ=?=?-- (3)CO 2激光器的腔长L=100cm ,反射镜直径D=1.5cm ,两镜的光强反射系数分别为r 1=0.985,r 2=0.8。求由衍射损耗及输 出损耗分别引起的δ、τc 、Q 、?νc (设n=1) 解: 衍射损耗: 1880107501106102 262.) .(.a L =???=λ=δ-- s ..c L c 8 81075110318801-?=??=δ=τ 6 86 810 113107511061010314322?=??????=πντ=--....Q c MHz .Hz ...c c 1910191075114321216 8 =?=???=πτ= ν?- 输出损耗: 1190809850502121.)..ln(.r r ln =??-=-=δ s ..c L c 8 81078210 311901-?=??=δ= τ 6 86 810 964107821061010314322?=??????=πντ=--....Q c MHz .Hz ...c c 75107510 78214321 2168 =?=???=πτ= ν?- (4)有一个谐振腔,腔长L=1m ,两个反射镜中,一个全反,一个半反,半反镜反射系数r=0.99,求在1500MHz 的范围内所包含的纵模个数,及每个纵模的线宽(不考虑其它损耗) 解: MHz Hz .L c q 150105112103288=?=??==ν? 11]1150 1500 []1[=+=+ν?ν?=?q q 005.02 01.02=== T δ s c L c 781067.610 3005.01 -?=??== δτ MHz c c 24.010 67.614.321 217 =???= = -πτν? (5) 某固体激光器的腔长为45cm ,介质长30cm ,折射率n=1.5,设此腔总的单程损耗率0.01π,求此激光器的无源腔本征纵模的模式线宽。 解: cm L 60155.130=+?=' s 106.36610 30.01π0.6c L 8 8c -?=??='=δτ 2.5M H z 10 6.3663.1428 c c =???= = -1 21πτν? (6)氦氖激光器相干长度1km ,出射光斑的半径为r=0.3mm ,求光源线宽及1km 处的相干面积与相干体积。 解: 0.3MHz 10 103L c 3 8 c =?==ν?

华中科技大学2018年《激光原理》硕士招生考试大纲_华中科技大学考研论坛

华中科技大学2018年《激光原理》硕士招生考试大纲 一、课程名称: 激光原理与技术 Laser Principle and Technology 二、课程编码: 三、学分与学时:64/4 四、先修课程:量子力学、几何光学、物理光学 五、课程教学目标: 《激光原理与技术》课程是光电子专业本科生的专业基础课,其教学目标是使学生能够掌握本课程的基本理论、基本分析方法和基本技能。初步具备应用所学到的基本理论和方法分析和解决本专业的一般性问题。 六、适用学科专业:高等院校光电子技术、光通讯、光电器件应用物理等本科专业。 七、基本教学内容与学时安排: 第一章绪论(4学时) 一、激光的诞生及发展 二、激光产生的机理 三、激光的特性 四、激光器实例 第二章光线矩阵及高斯光束(10学时) 一、光线的传播 1.光线矩阵 2.双周期性透镜波导 3.相同周期性透镜波导 4.光线在反射镜之间的传播 二、光束在均匀介质中传输 1.均匀介质中的基本高斯光束 2.ABCD法则 3.高斯光束在透镜波导中的传输 4.均匀介质中的高阶高斯光束 三、高斯光束的变换 1.高斯光束通过薄透镜的传输 2.高斯光束的聚焦、准直和匹配 3.高斯光束的自再现变换与稳定球面腔 第三章激光谐振腔(10学时) 一、光学谐振腔的稳定性条件 1.光学谐振腔的稳定性 2.光学谐振腔的构成与分类 3.光学谐振腔的作用 二、光学谐振腔的模式 1.光学谐振腔中光波模的谐振频率 2.光学谐振腔内的多纵模振荡和单纵模的选取 3.纵模的频率漂移

三、平行平面腔的迭代法 1.开腔衍射理论的分析方法 四、平稳定球面腔 1.对称共焦腔的模式 2.一般稳定球面腔与对称共焦腔的等价性 3.一般稳定球面腔的模式 4.非稳定球面腔 第四章光场与物质的相互作用(8学时) 一、光场与物质相互作用的理论 1.光场与物质相互作用的理论体系 2.电介质的极化 3.原子自发辐射的经典模型 二、谱线加宽与线型函数 1.光谱线的频率分布 2.爱因斯坦辐射系数在谱线加宽时的修正 3.原子与有谱线线宽辐射场的相互作用 三、均匀加宽和非均匀加宽 四、激光器的速率方程理论 1.三能级速率方程组 2.四能级速率方程组 第五章连续和脉冲激光器的运行特性(8学时) 一、小信号增益系数 1.增益系数正比于反转粒子数 2.增益系数与入射光场频率的关系 二、均匀加宽时的增益饱和 1.增益饱和现象及其物理机制 2.均匀加宽条件下反转粒子数的饱和 3.均匀加宽条件下的大信号增益 三、均匀加宽时的增益饱和 1.非均匀加宽条件下反转粒子数的饱和 2.非均匀加宽条件下的大信号增益 四、连续激光器的稳态工作特性 1.激光器的阈值条件 2.稳态工作时的腔内光强 3.连续激光的输出功率和最佳透过率 文章来源:文彦考研

实验五 移位寄存器

实验五、移位寄存器的设计 一、实验目的 设计并实现一个异步清零同步置数8位并入并出双向移位寄存器电路。 二、实验原理 在数字电路中,用来存放二进制数据或代码的电路成为寄存器。寄存器按功能可分为:基本寄存器和移位寄存器。移位寄存器中的数据可以在移位脉冲作用下一次逐位右移或左移,数据既可以并行输入、并行输出,也可以串行输入、串行输出,还可以并行输入、串行输出,串行输入、并行输出,十分灵活,用途也很广。下面是一个并入串出的8位左移寄存器的VHDL描述: library ieee; use ieee.std_logic_1164.all; port(data_in: in std_logic_vector(7 downto 0); clk: in std_logic; load: in std_logic; data_out:out std_logic); end; architecture one of left8 is signal q: std_logic_vector(7 downto 0); begin process(load,clk) begin if load='1' then q<=data_in; data_out<='Z'; elsif clk'event and clk='1' then for I in 1 to 7 loop 图5-1 q(i)<=q(i-1); end loop; data_out<=q(7); end if; end process; end one; 异步清零同步置数8位并入并出双向移位寄存器电路结构图如图5-1所示。 三、实验要求 输入信号有D[0]~D[7]、DIL、DIR、S、LOAD、CLK和CLR,其中CLK接时钟,其余接拨码开关,输出信号有Q[0]~Q[7],接发光二极管。改变拨码开关的状态,观察实验结果。 实验工程项目命名为rlshift,源程序命名为rlshift8.vhd。 四、实验记录 对比较器实验结果造表,得到其真值表。 五、实验报告要求

《激光原理与技术》课程教学大纲

《激光原理与技术》课程教学大纲 课程名称:激光原理与技术 英文名称:Principles and Technology of Lasers 学分:3 总学时:48 理论学时:48 实验(上机)学时:0 适用专业:光信息科学与技术专业 一、课程的性质、目的 本课程是光信息科学专业的重要基础课,激光物理与激光技术基础已经成为现代科学研究、工业、农业、军事。尤其是光信息应用技术部门的重要内容,是新技术应用的重要基础。因此,掌握激光原理与激光技术是为从事现代科学研究,开拓新的光信息科学内容打下基础。 二、教学基本要求 通过教学过程的实施使学生基本掌握激光形成原理,掌握激光器件的各部件的工作原理,掌握主要的激光技术的基本原理和实施方法。了解各种激光器件和技术的新进展,培养学生利用专业知识分析问题和解决实际问题的能力,教给学生自己不断获取新知识的方法。 三、课程教学基本内容 1.Introductory concepts(本章要求掌握)5 1.1. Spontaneous and Stimulated Emission, Absorption 1.2. The Laser Idea 1.3. Pumping Schemes 1.4. Properties of Laser Beams 1.5. Laser Types 2.Interaction of radiation with atoms and ions(本章前三节要求掌握)4 2.3. Spontaneous Emission 2.4. Absorption and Stimulated Emission 2.5. Line-Broadening Mechanisms 2.6. Nonradiative Decay and Energy Transfer 3.Energy levels, radiative, and nonradiative transitions in molecules and semiconductors (本章要求理解)6 3.1. Molecules 3.2. Bulk Semiconductors

实验七 移位寄存器及其应用

实验七 移位寄存器及其应用 一、实验目的 1. 掌握中规模4位双向移位寄存器逻辑功能及使用方法。 2. 熟悉移位寄存器的应用——环形计数器。 二、实验原理 1. 移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又有右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为74LS194或CC40194,两者功能相同,可互换使用,其逻辑符号及引脚排列如图 1所示。 图 1 74LS194的逻辑符号及其引脚排列 其中D 3、D 2、D 1、D 0为并行输入端, Q 3、Q 2、Q 1、Q 0为并行输出端;S R 为右移串行输入端,S L 为左移串行输入端,S 1、S 0为操作模式控制端;CR 为直接无条件清零端;CP 为时钟脉冲输入端。74LS194有5种不同操作模式:即并行送数寄存,右移(方向由Q 3→Q 0),左移(方向由Q 0→Q 3),保持及清零。S 1、S 0和CR 端的控制作用如表 1所示。 表 1

2.移位寄存器应用很广,可构成移位寄存器型计数器;顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计致器和串行累加器的线路及其原理。 (1) 环形计数器:把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位, 如图2所示,把输出端Q 0和右移串行输入端S R 相连接,设初始状态Q 3 Q 2 Q 1 Q =1000, 则在时钟脉冲作用下Q 3Q 2 Q 1 Q 将依次变为0100→0010→0001→1000→……,可见它是具 有四个有效状态的计数器,这种类型的计效器通常称为环形计数器。图2电路可以由各个输出端输出在时间上有先后顺序的脉冲,因此也可作为顺序脉冲发生器。

最新实验6-移位寄存器功能测试及应用-(实验报告要求)

实验六 移位寄存器功能测试及应用 --实验报告要求 一. 实验目的(0.5分) 1. 熟悉寄存器、移位寄存器的电路结构和工作原理。 2. 掌握中规模4位双向移位寄存器逻辑功能及使用方法。 3. 熟悉移位寄存器的应用。 二. 实验电路 D0、D1 、D2 、D3为并行输入端;Q0、Q1、Q2、Q3为并行输出端;SR 为右移串行输入端,SL 为左移串行输入端;S1、S0 为操作模式控制端;R C 为直接无条件清零端;CP 为时钟脉冲输入端。 三 图2 CC40194/74LS194 逻辑功能测试 图1 CC40194/74LS194的逻辑符号及引脚功能 图3 环形计数器

四. 实验原理(0.5分) 1.移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。 本实验选用的4位双向通用移位寄存器,型号为CC40194或74LS194,两者功能相同,可互换使用。 74LS194有5种不同操作模式:即并行送数寄存,右移(方向由Q0-->Q3),左移(方向由Q3→Q0),保持及清零。 2.移位寄存器应用很广,可构成移位寄存器型计数器:顺序脉冲发生器;串行累加器;可用数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本实验研究移位寄存器用作环形计数器和数据的串、并行转换。 (1)环行计数器 把移位寄存器的输出反馈到它的串行输入端,就可以进行循环移位。 (2)实现数据、并行转换器 a)串行∕并行转换器 串行∕并行转换器是指串行输入的数码,经转换电路之后变换成并行输出。 b)并行∕串行转换器 并行∕串行转换器是指并行输入的数码经转换电路之后,换成串行输出。 五. 实验内容与步骤(共1分) 1. 2.测试74LS194的逻辑功能(0.5分) (1)在实验箱上选取一个16P插座,按定位标记插好74LS194集成块。 (2)将实验挂箱上+5V直流电源接40194的16脚,地接8脚。S1、S0、SL、SR、D0、D1、D2、D3分别接至逻辑电平开关的输出插口;Q0、Q1、Q2、Q3接至发光二极管。CP端接单次脉冲源。 (3)改变不同的输入状态,逐个送入单次脉冲,观察寄存器输出状态,记录之。 a)清除:令=0,其它输入均为任意态,这时寄存器输出Q0、 Q1、 Q2 、Q3应均为0。清除后,至=1。 b)送数:令=S1=S0=1 ,送入任意4位二进制数,如D0、D1、D2、D3=1010,加CP脉冲,观察CP=0、CP由1→0、CP=1三种情况下寄存器输出状态的变化,观察寄存输出状态变化是否发生在CP脉冲的上升沿。 (c)右移:清零后,令=1, S1=0 S0=1,由右移输入端S R送入二进制数码如0100,由CP端连续加4个脉冲,观察输出情况,记录之。 (d)左移:先清零或予至,再令=1 S1=1,S0=0,由左移输入端S L送入二进制数码

激光物理学

第一章激光的基本概念 §1.1时间相干性和空间相干性 1.相干时间 2.相干面积 3.相干体积 §1.2光波模式和光子状态 1.光波模式 2.光子及其状态 §1.3光与物质的相互作用 1.光与物质相互作用的三过程(自发辐射受激吸收受激辐射)2.爱因斯坦系数间的关系 3.光子简并度 4.激光器与起振条件 第二章腔模理论的一般问题 §2.1变换矩阵 1.变换矩阵的基本性质 2.变换矩阵各元素的意义 §2.2腔的稳定性问题 1.稳定性条件 2.等效方法 §2.3腔的本征模式 §2.4腔的损耗 1. 平均单程损耗因子 2.光子在腔内平均寿命 3.无源谐振腔的品质因数Q 4.本征振荡模式带宽 第三章稳定球面腔 §3.1共焦腔的振荡模 §3.2光斑尺寸和等价共焦腔 §3.3衍射损耗及横模选择 §3.4谐振频率,模体积和远场发散角第四章高斯光束 §4.1 厄米高斯光束和拉盖尔高斯光束§4.2 高斯光束的q参数 第五章非稳定腔 §5.1 非稳定腔的谐振模 §5.2 几何放大率和功率损耗率 §5.3 单端输出虚共焦腔的设计 第六章电磁场和物质相互作用 §6.1 线性函数 1. 定义 2.自然加宽和碰撞加宽N 3. 多普勒加宽

4. 综合加宽 §6.2 速率方程组 1.三能级系统 2.四能级系统 第七章增益饱和与光放大 §7.1 发射截面和吸收截面 §7.2 小信号增益系数 §7.3 均匀加宽工作物质的增益饱和 1. 反转集居数的饱和 2. 均匀加宽大信号增益系数 §7.4 非均匀加宽工作物质的增益饱和 1. 加宽大信号增益系数 2. 强光作用下弱光的增益系数 第八章激光振荡理论 §8.1激光器的振荡阈值,阈值反转集居数密度 §8.2连续激光器或长脉冲激光器的阈值泵浦功率§8.3多模激光器 §8.4 频率牵引 第九章激光的半经典理论 §9.1处理方法 §9.2 密度矩阵 1.定义 2.性质 §9.3 集居数运动方程迭代解 1. 静止原子的单模理论 2. 运动原子的单模理论 3. 静止原子的多模理论 4. 环形激光器 5. 塞曼激光器 第十章激光的量子理论 §10.1 辐射场的量子化 §10.2 相干态 §10.3 相干态的几个性质 §10.4 约化密度矩阵 §10.5 原子和辐射场的相干作用 §10.6 主方程 §10.7 振荡阈值和增益饱和 §10.8 光子统计 §10.9 内禀线宽 §10.10 激光场的光强涨落 第十一章相干光学瞬态效应 §11.1 二能级系统和辐射场相互作用 §11.2 相干瞬态光学过程 §11.3 相干双光子过程

相关主题
文本预览
相关文档 最新文档