当前位置:文档之家› 按键消抖电路瞬态分析和设计

按键消抖电路瞬态分析和设计

按键消抖电路瞬态分析和设计
按键消抖电路瞬态分析和设计

按键消抖电路瞬态分析和设计

按键是仪器仪表中普遍采用的人机输入接口电路。在按键电路中必须考虑对按键的抖动进行

软件消抖和硬件消抖。软件消抖具有使用硬件数量少的优点,但也具有以下两个缺点:(1)在仪器键盘电路中,多个按键安装在仪器面板上,键盘的输出通过排线连接到主控板上,此时键盘导线寄生电感和寄生电容的存在,寄生电感寄生电容和排线电阻将组成二阶振荡系统,

二阶振荡将形成负电平脉冲,而负电平脉冲很容易超出数字芯片的输入最大允许电平范围,导致数字芯片容易损坏。(2)按键闭合和断开时,电压信号下降沿非常陡峭,剧烈变化的

电压信号将通过互容传递到相邻导线上。硬件消抖电路的设计主要是要考虑以下三个因素:(1 )消除信号的抖动,确保按键电路输出信号的平整;(2 )消除信号的下冲,因为下冲

电平超出了后续数字芯片的最大输入电平范围;(3)降低信号变化的速度,避免在邻线上

引起容性串扰;(4 )不影响按键电路的正常功能。常见的硬件消抖电路包括电容滤波消

抖和触发器消抖。电容滤波消抖采用电阻和电容组成低通滤波器,具有电路结构简单可靠的

优点,因此本文将重点阐述该消抖电路。1按键消抖电路结构与电路模型图1为某仪器按

键电路原理图,按键安装在仪器面板上,通过导线连接到主控板上,按键的一端接上拉电阻并连接后续电路,按键的另一端接地,当按键没有按下时,按键输出高电平,当按键按下时,按键输出低电平。图2为加上滤波电容后的按键电路。

图2按键消抖电路

图3为按键消抖电路的电路模型。图中R)为连接按键导线的电阻,L为导线电感,C o为导线

对地电容,C f为滤波电容,C P为按键后续电路的输入电容,R为按键后续电路的输入阻抗,

R为上拉电阻,V CC为电源电压,U为按键消抖电路的输出电压。

图3按键消抖电路的电路模型

当按键闭合时,其等效 电路模型如图4所示。当按键断开时,其等效电路模型如图 5所示。2

按键消抖电路数学模型 设某一时刻按键合上,在此之前按键断开,整个电路处于稳态,即 各个电容和电感上没有电流流动。此时输出电压

U =u o =V cc R (R +R i )。则根据图4整个电

路可列出以下微分方程: (1)

rnuj

比赛计分器课程设计

湖南工程学院 课程设计 课程名称微机原理与应用 课题名称比赛计分器设计 专业 班级 学号 姓名 指导教师 2011 年 5 月30 日

湖南工程学院 课程设计任务书 课程名称微机原理与应用 课题比赛计分器设计 专业班级 学生姓名 学号 指导老师 审批 任务书下达日期2011 年 5 月30 日任务完成日期2011 年 6 月9 日

设计内容与设计要求 设计内容: 设计一个甲,乙两队用的比赛计分器,要求实现以下功能: 1、给甲,乙两队分别设置1个加分按钮,按一下能分别实现加一分。 2、给甲,乙两队分别设置1个减分按钮,按一下能分别实现减一分。 3、设置一个清零按钮,按一下能实现清零 4、设置预置分按钮。 5、设置一个加减一分、两分和三分的切换按钮。 设计要求: 1、设计方案要合理、正确; 2、系统硬件设计及焊接制作; 3、系统软件设计及调试; 4、系统联调; 5、写出设计报告。

主要设计条件 1、MCS-51单片机实验操作台1台; 2、PC机及单片机调试软件; 3、单片机应用系统板1套; 4、制作工具1套; 5、系统设计所需的元器件。 说明书格式 1.封面 2.课程设计任务书 3.目录 4.系统总体方案设计 5.系统硬件设计 6.软件设计(包括流程图) 7.系统的安装调试说明 8、总结 9、参考文献 10、附录(源程序清单及硬件原理图等); 11、课程设计成绩评分表。

进度安排 设计时间为两周 第一周 星期一、上午:布置课题任务,讲课及课题介绍 下午:借阅有关资料,总体方案讨论 星期二、确定总体设计方案 星期三、硬件模块方案设计 星期四、软件模块方案设计 星期五、设计及调试 第二周 星期一、设计及调试 星期二、设计及调试 星期三、总调 星期四、写说明书 星期五、上午:写说明书,整理资料 下午:交设计资料,答辩 参考文献 [1]、《微型计算机原理及应用》许立梓编机械工业出版社 2002 [2]、《微型计算机接口技术及应用》刘乐善编华中理工大学出版社 2000 [3]、《计算机硬件技术基础试验教程》邹逢兴编高等教育出版社 2000 [4]、《16位微型计算机原理接口及其应用》周佩玲编中国科学技术大学出版社 2000 [5]、《微型计算机原理与接口技术》吴秀清编中国科学技术出版社 2001 [6]、《微型计算机接口技术》邓亚平编清华大学出版社 2001 [7]、《单片机原理及及应用》王迎旭编机械工业出版社 2001 [8]、《单片机应用程序设计技术》周航慈著北京航空航天大学出版社 2002 [9]、《单片机实用技术问答》谢宜仁主编人民邮电出版社 2002

浙江大学实验报告:一阶RC电路的瞬态响应过程实验研究

三墩职业技术学院实验报告 课程名称:电子电路设计实验 指导老师: 成绩:__________________ 实验名称: 一阶RC 电路的瞬态响应过程实验研究 实验类型:探究类同组学生姓名:__ 一、实验目的 二、实验任务与要求 三、实验方案设计与实验参数计算(3.1 总体设计、3.2 各功能电路设计与计算、3.3完整的实验电路……) 四、主要仪器设备 五、实验步骤与过程 六、实验调试、实验数据记录 七、实验结果和分析处理 八、讨论、心得 一、实验目的 1、熟悉一阶RC 电路的零状态响应、零输入响应过程。 2、研究一阶RC 电路在零输入、阶跃激励情况下,响应的基本规律和特点。 3、学习用示波器观察分析RC 电路的响应。 4、从响应曲线中求RC 电路的时间常数。 二、实验理论基础 1、一阶RC 电路的零输入响应(放电过程) 零输入响应: 电路在无激励情况下,由储能元件的初始状态引起的响应,即电路初始状态不为零,输入为零所引起的电路响应。 (实际上是电容器C 的初始电压经电阻R 放电过程。) 在图1中,先让开关K 合于位置a ,使电容C 的初始电压值0)0(U u c =-,再将开关K 转到位置b 。 电容器开始放电,放电方程是 图1 ) 0(0≥=+t dt du RC u C C

可以得出电容器上的电压和电流随时间变化的规律: 式中τ=RC 为时间常数,其物理意义 是衰减到1/e (36.8%))0(u c 所需要的时间,反映了电路过渡过程的快慢程度。τ图2 图2 2电路的零状态响应(充电过程) 所谓零状态响应是指初始状态为零,而输入不为零所产生的电路响应。RC 关K 可以得出电压和电流随时间变化的规律: 式中τ=RC 为时间常数,其物理意义是由初始值上升至稳态值与初始值差值的63.2%处所需要的时间。同样可以从响应曲线中求出τ,如图3。 ) 0()0()(0≥-=-=- - - t e R U R e u t i t RC t C C τ ) (u t C ) 0()0()(0≥==- --t e U e u t u t RC t C C τ ()(0) t t S S RC C U U i t e e t R R τ--==≥()11(0) t t RC C S S u t U e U e t τ --????=-=-≥ ? ? ????

按键消抖与时间按键

按键消抖与时间按键 这篇文章写给正在学51单片机的或者刚入门51单片机准备进阶的的朋友,我们来着重讨论一下按键消抖和时间按键这两项。 我们常用的按键大多都是机械的,机械开关就会出现机械振动,这个由物理学或者实验可以推出来,抖动会在单片机上面出现重复扫描次数,次数多少与单片机的时钟晶振有关,时钟晶振越高单片机执行速度越快,重复次数就越多 整个按键数百ms 按下瞬间,抖动时间大概10ms 弹起瞬间,抖动大概10ms 按键一次出现的电平变化 (上面的时间都是老师说的和书上现成的,没有实际测试,而且不同的按键应该也会有差异,作为学习研究确实不应该,找个时间锅锅会测出这个时间供大家参考,嘿嘿) 由图我们可以看出,按下去瞬间会出现抖动,弹起来也会出现抖动,明显是个阻尼振动,按键扫描程序是按顺序执行的; 首先提出三个问题大家思考一下 1.为什么要消除抖动 2.如何消抖 3.是不是按键都要消抖,不是的话,哪些需要消抖,哪些不需要消抖 4.消抖的时间是不是必须10ms 5.按键消抖的方式是不是一定像书上的那样,如何消抖更节省CPU,且更简单 按键如果不消除抖动,那么单片机检测到的低电平的次数就不止一次,那我们按键一次,单片机会检测到多次,比如我们把按某个按键设置按一次成某个变量加1,结果按一次就加了很多次,这样我们就不能精确的通过按键来调整我们想要的参数,所以我们消除抖动的目的就是要实现按一次按键让单片机读出一次按键操作 消抖分硬件和软件消抖, 硬件消抖有《模拟电子技术》上提到用三态门实现,当然还有周立功那个7920(管理数码管和按键的芯片),当然还有很多硬件电路以及一些按键有自带消抖电路,但是如果要做产

单片机课程设计-触控调光灯

绪论 随着经济发展的加快,科学技术的进步,人们生活水平得到了提高,设备逐渐完善。台灯是生活中的伴侣,我们是不喜欢与强烈的光线打交道的,因为强光对人身体是有害的,为了大家能健康地生活与工作,设计师也想出了一个较为完美的构思,就是台灯的出现,它的出现让很多朋友们得到了满意的效果,但是生活中没有完美的东西,台灯亦不例外,这就需要我们的改进。例如,很多时候我们并不需要让台灯处于全亮状态,有时候我们只需要微弱的光照即可,过强的光线反而会对使用者造成不必要的干扰,而且这也是对电能的一大浪费。此外,关于开关的使用寿命,也是影响台灯的耐用性的另一个问题。 因此,本设计的指导思想就是让台灯更耐用、更好用且能够调节亮度,解决过高的亮度对使用者的干扰同时也是为了节能,以及解决普通机械开关易损坏的问题。对于触模式台灯,手一触到台灯的感应部位灯就会自动亮,如果多触摸几下,台灯就会达到最亮的极限,这样就可以调节了台灯的亮度也避免了开关易坏导致的调节亮度易失灵的问题。本文介绍了触摸式台灯调光电路的设计及工作过程。本设计目的是通过设计工作熟悉触摸式调光灯电路基本构成与基本工作原理,并能将这些技术应用实际系统设计,提高自己对电路知识的认识。

一、系统工作原理 本设计采用STC公司的12系列单片机为控制芯片,配合外围电路进行对触摸按键的检测及对台灯亮度和开关的控制,利用单片机产生不同占空比的PWM方波实现对灯光的亮暗控制。此外,本设计的触控功能的实现则是利用单片机的I/O口的开漏模式且未加上拉电阻,在此模式下I/O更容易接受外界微弱的电平,更容易受到手指的干扰。 本设计中,在被设置成开漏的I/O口要在单片机读该口前将其置1,使其成为高阻状态,当I/O接口被设置到高阻输入状态时,I/O接口就是纯电平输入状态,在接口悬空时为低电平,当有手指触摸到I/O接口时,手指上的微弱电流会使I/O 接口跳变到高电平,只要读出I/O接口的电平高低就可以了解是否有触摸操作,然后加一段按键去抖程序后去调整与PWM占空比相关的数值,从而实现灯光亮度的连续可调。 二、系统硬件组成 本设计中所采用的芯片有STC公司的小型封装插件式单片机STC12C2052AD、AMS1117 5.0降压稳压IC、以及若干二极管、三极管,电阻电容等元器件。 其中: 2.1 控制部分 STC12C2052AD单片机的引脚位置与用途如图2-1所示: 图2-1 STC12C2050AD引脚图

一阶电路的暂态响应

成绩 教师签字 通信工程学院 实验报告 实验题目: 实验三一介动态电路的暂态响应的研究 班级:通信工程专业 10 级 14 班 姓名一:曾旭龙学号: 52101409 姓名二:吴秀琼学号: 52101427 姓名三:陈光林学号: 52101407 实验日期: 2011 年 5 月 19 日

一阶电路的暂态响应的研究 曾旭龙吴秀琼陈光林徐峰 吉林大学通信工程学院通信工程系10级14 吉林大学通信工程学院电工电子实验中心 摘要:本文要通过进行一介RC电路对周期方波信号的响应的数据测量和分析,研究测量电路时间常数τ的方法,建立积分电路和微分电路的概念。 关键词:暂态响应电路时常数积分电路微分电路 0 引言电路的时常数τ是一阶电路的重要参数,测定电路时间常数是一阶电路暂态响应实验研究的重点和难点。因而研究一阶电路的暂态响应对于测量电路的时间常数有着十分重要的意义。 1 问题提出 2理论依据 2.1电容器的充电、放电 电容器是一种贮能元件,在带有电容器的电路中发生通断换接时,由于电容器贮能状态不能突变所以在电路中就产生了过渡过程。在直流电路中,电容器接通电源,在极板上积累电荷的过程称为充电;已充电的电容器通过电阻构成闭合回路使电荷中和消失的过程称为放电。 根据电路理论,在单一贮能元件组成的一阶电路中,过渡过程中的暂态电流与电压是按指数规律变化的。这一规律可以用下面的数字式表示,即

式中i c(0+)及U c(0+)是起始瞬间的电容电流及电压,i c(∞)及U c(∞)是电路稳定后的电容电流及电压。 图1电容器充放电电路 电容器充放电电路中电流、电压变化曲线分别如图3.4a.2(a)及图3.4a.2(b)所示。这曲线是由电路发生通断瞬间的起始状态向新的稳定状态过渡的指数曲线。其起始状态可根据换路定律确定,即在电路参数不变时,若电路发生换接,则电容器端电压不能突变,也就是在电路换接前后的瞬间是相等的,即 i c(0+)=i c (0_) 电路的时间常数τ,可以根据和计算,即τ=RC,τ用来表征过渡过程的长短。τ大过渡过程时间长,反之就短。若的单位为Ω,C 的单位为F,则τ的单位为s.τ可以从的变化曲线上求得。从曲线上任选

按键开关消抖程序

按键开关消抖程序 实践中,单片机端口在连接开关器件时都要考虑消抖的问题,或在硬件上 增加延迟,或是增加软件延迟查询的功能模块。这里,我们考虑这样一个检测 电路:单片机连接一个开关和两个LED。程序是这样的,如果开关的消抖正确, 就点亮LED1,否则就闪亮LED2。按下开关,点亮LED1,释放开关,LED1 即熄灭。我们加入20 毫秒的消抖延迟时间。当检测到开关为低电平时,单片 机在延迟20 毫秒后再次检测开关的状态。如果此时开关状态为高,则LED2 就闪亮,如为低则点亮LED1。源代码: led1bitP2.0led2bitP2.1switch1bitP1.0ORG 0000hsetb switch1//initialize switch 1 as inputsetb led1//Turn OFF LED1setb led2//Turn OFF LED2 wait:jb switch1,wait// Wait till switch1 has been pressedcall debounce_delayjb switch1,c1_wait//switch low even after debouncing period//switch has been succesfully debouncedclr led1//Turn ON LED1jnb switch1,$//wait till switch has been releasedsetb led1//Turn OFF LED1ajmp wait c1_wait://Switch PIN high after debounce period so error in debouncingcpl led2ajmp wait debounce_delay://Subroutine for generating 20ms delaymov r7,#245l1_debounce_delay:mov r6,#40djnz r6,$djnz r7,l1_debounce_delayret END tips:感谢大家的阅读,本文由我司收集整编。仅供参阅!

扫描式矩阵键盘课程设计

扫描式矩阵键盘课程设 计 Company number【1089WT-1898YT-1W8CB-9UUT-92108】

4X4扫描式矩阵键盘课程设计课程设计名称: 4_4扫描式矩阵键盘设计 姓名: DUKE 班级:电子1008班 学号: 10086 成绩: 日期: 2014年1月6日 摘要 随着21世纪的到来,电子信息行业将是人类社会的高科技行业之一,式设施现代化的基础,也是人类通往科技巅峰的直通路。电子行业的发展从长远来看很重要,但最主要的还是科技问题。 矩阵式键盘提高效率进行按键操作管理有效方法,它可以提高系统准确性,有利于资源的节约,降低对操作者本身素质的要求。是它能准时、实时、高效地显示按键信息,以提高工作效率和资源利用率。 矩阵式键盘乃是当今使用最为广泛的键盘模式,该系统以N个端口连接控制N*N个按键,显示在LED数码管上。单片机控制依据这是键盘显示系统,该系统可以对不同的按键进行实时显示,其核心是单片机和键盘矩阵电路部分,主要对按键与显示电路的关系、矩阵式技术及设备系统的硬件、软件等各个部分进行实现。 4*4矩阵式键盘采用AT89C51单片机为核心,主要由矩阵式键盘电路、译码电路、显示电路等组成,软件选用C语言编程。单片机将检测到的按键信号

转换成数字量,显示于LED显示器上。该系统灵活性强,易于操作,可靠性高,将会有更广阔的开发前景。 目录 第一章:系统功能要求-------------------------------------------------------- 1.1 4*4 矩阵式键盘系统概述------------------------------------------------ 1.2 本设计任务和主要内容--------------------------------------------------- 第二章:方案论证--------------------------------------------------------------- 第三章:系统硬件电路的设计------------------------------------------------ 3.1 单片机控制系统原理----------------------------------------------------- 3.2 原理图绘制说明---------------------------------------------------------- 3.3 画出流程图---------------------------------------------------------------- 3.4 原理图绘制---------------------------------------------------------------

按键消抖

一、按键消抖 1.1 计数器型消抖电路(一) 计数器型消抖电路(一)是设置一个模值为(N+1)的控制计数器,clk在上升沿时,如果按键开关key_in='1',计数器加1,key_in='0' 时,计数器清零。当计数器值为2时,key_out 输出才为1,其他值为0时。计数器值为N时处于保持状态。因此按键key_in持续时间大于N个clk时钟周期时,计数器输出一个单脉冲,否则没有脉冲输出。如果按键开关抖动产生的毛刺宽度小于N个时钟周期,因而毛刺作用不可能使计数器有输出,防抖动目的得以实现。clk的时钟周期与N的值可以根据按键抖动时间由设计者自行设定。 主要程序结构如下: 图1是N为3的波形仿真图,当按键持续时间大于3个时钟周期,计数器输出一个单脉冲,其宽度为1个时钟周期,小于3个时钟周期的窄脉冲用作模拟抖动干扰,从图1可以看出,抖动不能干扰正常的单脉冲输出。 1 按键抖动产生原因分析 绝大多数按键都是机械式开关结构,由于机械式开关的核心部件为弹性金属簧片,因而在开关切换的瞬间会在接触点出现来回弹跳的现象。虽然只是进行了一次按键,结果在按键信号稳定的前后出现了多个脉冲,如图1所示。如果将这样的信号直接送给微处理器扫描采集的话,将可能把按键稳定前后出现的脉冲信号当作按键信号,这就出现人为的一次按键但微处理器以为多次按键现象。为了确保按键识别的准确

性,在按键信号抖动的情况下不能进入状态输入,为此就必须对按键进行消抖处理,消除抖动时不稳定、随机的电压信号。机械式按键的抖动次数、抖动时间、抖动波形都是随机的。不同类型的按键其最长抖动时间也有差别,抖动时间的长短和按键的机械特性有关,一般为5~10 ms,但是,有些按键的抖动时间可达到20 ms,甚至更长。所以,在具体设计中要具体分析,根据实际情况来调整设计。 2 按键消抖电路的设计 按键消抖一般采用硬件和软件消抖两种方法。硬件消抖是利用电路滤波的原理实现,软件消抖是通过按键延时来实现。在微机系统中一般都采用软件延时的消抖方法。在用可编程逻辑器件FPGA/CPLD设计数字系统中,也可以用VHDL语言设计相应的时序和逻辑电路,对按键信号进行处理,同样可以达到消抖目的。本文利用Altera公司的可编程逻辑器件CPLD和QuartusⅡ,设计性能可靠的按键消抖电路。 2.1 按键消抖电路设计原理 按键消抖的关键是提取稳定的低电平(或高电平)状态,滤除按键稳定前后的抖动脉冲。在用基于VHDL 语言的时序逻辑电路设计按键消抖电路时,可以用一个时钟脉冲信号对按键状态进行取样,当第一次采样到低电平时,启动延时电路,延时结束后,再对按键信号进行连续三次取样,如果三次取样都为低电平,则可以认为按键已经处在稳定状态,这时输出一个低电平的按键确认信号,如果连续三次的取样中,至少有一次是高电平,则认为按键仍处在抖动状态,此时不进行按键确认,按键输出信号为高电平。 2.2 按键消抖电路设计 该控制电路采用VHDL语言的有限状态机的设计方法来描述和实现,其状态转换图如图2所示。

课程设计——拔河游戏机

课程设计任务书 题目拔河游戏控制电路 专业学号姓名 主要内容、基本要求、主要参考资料等: 主要内容 * 1.阅读相关科技文献。 2.学习protel软件的使用。 3.学会整理和总结设计文档报告。 4.学习如何查找器件手册及相关参数。 技术要求 1.< 2.要求电路使用9个发光二极管显示拔河过程,开机后只有中间一个发光二极管发光,即为拔河 中心; 3.游戏双方各持一个按钮,按钮每按下一次,亮点向本方移动一次,拔河过程中有且只有一个发 光二极管发光; 4.亮点移动到任意一段的终端二极管时,此方获胜,并且此时双方按钮均无作用,输出状态保持, 复位后亮点回到中心点。 主要参考资料 1.何小艇,电子系统设计,浙江大学出版社,2001年6月; ( 2.姚福安,电子电路设计与实践,山东科学技术出版社,2001年10月; 3.王澄非,电路与数字逻辑设计实践,东南大学出版社,1999年10月;

4.李银华,电子线路设计指导,北京航空航天大学出版社,2005年6月;5.康华光,电子技术基础,高教出版社,2003。 } 完成期限: 2011年6月28日 指导教师签章: 专业负责人签章: 2011年6月27日

摘要 》 本课题的主要任务是让拔河游戏控制电路的电平指示灯由中点向己方延伸,而阻止其向对方延伸。可以设想用可预置的加/减计数器作主要器件,用计数器的输出状态通过译码器控制电平指示灯的显示状态。如当计数器进行加法计数时,发亮的电平指示灯向甲方延伸,相反,进行减法计数时,发亮的电平指示灯向相反方向延伸。当延伸到一方的终点就就把电路锁定,此时双方按键均无作用,只有裁判员按了复位按键双方才能继续下一盘的比赛。 此电路可分为脉冲发生器电路和计数/译码器电路两大部分。脉冲发生器电路部分采用两个与非门组成的基本RS触发器构成的去抖电路以及有与门、与非门构成的整形电路,可以将按钮A、B产生的脉冲整形成占空比较高的信号。计数器电路部分以74LS/HC193为主体,译码器采用由集成芯片74HC138扩展的4线-16线译码器。芯片74LS/HC193根据UP端和DOWN端的状态来判断进行加计数还是减计数,然后将计数结果输出到由74HC138构成的译码器,译码器将结果输出到发光二极管。由于74HC138输出端为低电平,所以当双方终端二极管对应的输出端有一个为低时即表示游戏结束,双方按键失效。此功能实现可将74LS193的输出置数至输入端,使译码器的输出保持,将双方的端二极管对应的输出进行与运算,如果有一个为低则输出为低,再接低电平有效的置数端,实现游戏结束时按键无作用。 关键字:去抖电路 74LS138 反馈置数 &

电工学I(电路与电子技术)[第三章一阶电路的瞬态分析]山东大学期末考试知识点复习

第三章一阶电路的瞬态分析 3.1.1 换路定则 在换路瞬间(t=0),根据能量不能跃变的原理,则有电感电流不能跃变和电容 电压不能跃变。即 t=0-表示换路前终了瞬间;t=0+表示换路后初始瞬间。换路定则主要用来确 定换路瞬间,即t=0 时刻电感电流和电容电压的初始值,然后再根据基本定律确+ 时刻其他各个电量的初值。 定t=0 + 3.1.2 储能公式 电感储存的磁场能量与电流有关;电容储存的电场能量与电压有关。且 注意:电感电压可以跃变;电容电流可以跃变;电阻只耗能不储能,故不产生瞬 态过程,其中的电压和电流均可发生跃变。 3.1.3“三要素法”公式 即f(t)=稳态分量+瞬态分量,其中f(t)表示一阶线性电路瞬态过程中的任意 变量(电流或电压);f(∞)表示换路后电路已达到稳定状态时电流或电压的稳态 值;f(0+)表示瞬态变量的初始值;时间常数τ是表征瞬态过程进行快慢的参数, 它的大小反映了电路中能量储存或释放的速度,τ愈大,则瞬态过程时间愈长。 对于RC电路:τ=RC。对于RL电路:τ=L/R。 注意:这里的R、L和C都是等效值,其中的R是取换路后的电路,从储能 元件两端看进去的一个等值电阻。“三要素法”只适用于求解直流电源激励的一

阶线性电路的瞬态响应。 3.1.4 RC串联电路的矩形波脉冲响应特点 对于RC串联电路,当输入信号为连续的矩形波脉冲周期信号时,在不同的电路时间常(τ=RC)下,从电阻或电容两端会获得不同的输出电压波形,从而使输出信号与输入信号之间可形成近似的一种微分关系或积分关系。 3.2.1 本章重点 (1)换路瞬间(t=0+)各电量初始值的确定。换路定则仅适用于换路瞬间,可根据它来确定t=0+时电路电压和电流之值。即瞬态过程的初始值,其方法如下。 ①由t=0-时的等效电路求出u C(0-)和i L(0-)。如果换路前电路处于稳态,则电感视为短路,电容视为开路。 ②在t=0+的电路中,用换路定则确定的u C(0+)和i L(0+)出t=0+的等效电路。 ③用电压源U0=u C(0+)代替电容,用电流源I0=i L(0+)代替电感。作出t=0+时刻的等效电路,应用求解直流电路的方法,计算电路中其他各量在t=0+时的初始值。 (2)瞬态过程结束后(t=∞),各电量稳态值的求取。此时电感视为短路,电容视为开路,再应用直流电路的分析方法进行求解。 (3)理解“三要素法”公式,并能熟练地应用。对于同一电路中的任何电压

按键消抖实验

基于verilog按键消抖设计 Aaron malone 关于键盘的基础知识,我就以下面的一点资料带过,因为这个实在是再基础不过的东西了。然后我引两篇我自己的博文,都是关于按键消抖的,代码也正是同目录下project里的。这两篇博文都是ednchina的博客精华,并且在其blog 首页置顶多日,我想对大家会很有帮助的。 键盘的分类 键盘分编码键盘和非编码键盘。键盘上闭合键的识别由专用的硬件编码器实现,并产生键编码号或键值的称为编码键盘,如计算机键盘。而靠软件编程来识别的称为非编码键盘。 在单片机组成的各种系统中,用的最多的是非编码键盘。也有用到编码键盘的。非编码键盘有分为:独立键盘和行列式(又称为矩阵式)键盘。 按键在闭合和断开时,触点会存在抖动现象:

从上面的图形我们知道,在按键按下或者是释放的时候都会出现一个不稳定的抖动时间的,那么如果不处理好这个抖动时间,我们就无法处理好按键编码,所以如何才能有效的消除按键抖动呢?让下面的两篇博文日志给你答案吧。 经典的verilog键盘扫描程序 从最基础的分频程序开始,但看到这个键盘扫描程序后,直呼经典,有相见恨晚的感觉,还想说一句:威百仕( VibesIC ),我很看好你!WHY?待我慢慢道来,这个程序的综合后是0error,0warning。想想自己编码的时候那个warning是满天飞,现在才明白HDL设计有那么讲究了,代码所设计的不仅仅是简单的逻辑以及时序的关系,更重要的是你要在代码中不仅要表现出每一个寄存器,甚至每一个走线。想想我写过的代码,只注意到了前者,从没有注意过后者,还洋洋自得以为自己也算是个高手了,现在想来,实在惭愧啊!学习学习在学习,这也重新激发了我对HDL设计的激情,威百仕给了我一个方向,那我可要开始努力喽! 废话说了一大堆,看程序吧:(本代码经过ise7.1i综合并下载到SP306板上验证通过)

课程设计-制作单片机的4X4矩阵键盘

课程设计-制作单片机的4X4矩阵键盘

目录 摘要.............................................. 错误!未定义书签。第一章硬件部分 (5) 第一节AT89C51 (5) 第二节4*4矩阵式键盘 (8) 第三节LED数码管 (11) 第四节硬件电路连接 (13) 第二章软件部分 (15) 第一节所用软件简介 (15) 第二节程序流程图 (18) 第三节程序 (20) 第三章仿真结果 (23) 心得体会 (26) 参考文献 (27)

第一章硬件部分 第一节AT89C51 AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。AT89C51单片机为很多嵌入式控制系统提供了一种灵活性高且价廉的方案。引脚如图所示 AT89C5 图1 AT89C51管脚 图 AT89C51其具有以下特性: 与MCS-51 兼容 4K字节可编程FLASH存储器 寿命:1000写/擦循环 数据保留时间:10年

全静态工作:0Hz-24MHz 三级程序存储器锁定 128×8位内部RAM 32可编程I/O线 两个16位定时器/计数器 5个中断源 可编程串行通道 低功耗的闲置和掉电模式 片内振荡器和时钟电路 特性概述: AT89C51 提供以下标准功能:4k 字节Flash 闪速存储器,128字节内部RAM,32 个I/O 接口,两个16位定时/计数器,一个5向量两级中断结构,一个全双工串行通信口,片内振荡器及时钟电路。同时,AT89C51可降至0Hz的静态逻辑操作,并支持两种软件可选的节电工作模式。空闲方式停止CPU的工作,但允许RAM,定时/计数器,串行通信口及中断系统继续工作。掉电方式保存RAM中的内容,但振荡器停止工作并禁止其它所有部件工作直到下一个硬件复位。 管脚说明: VCC:供电电压。 GND:接地。 P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门电流。当P0口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的低八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须接上拉电阻。 P1口:P1口是一个内部提供上拉电阻的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出电流,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为低八位地址接收。

二一阶电路的瞬态响应

实验二 一阶电路的瞬态响应 一 实验目的 1 用万用表观察时间常数τ较大的RC 串联电路接通直流电压的瞬态响应。熟悉用万 用表判别较大电容好坏的方法。 2 用示波器观察和测定RC 电路的阶跃响应和时间常数τ。 3 了解时间常数对响应波形的影响及积分、微分电路的特点。 二 原理说明 1 用万用表观察大时间常数的RC 串联电路接通直流电压的瞬态响应。 如上图所示,虚线框内为万用表的欧姆档等效电路,它由电池,中值电阻r 和电流表G 组成。当万用表黑、红表笔分别接电解电容的正、负极时,就构成了RC 串联电路接通直流电压的情况,而表头指针的偏转就反映了电路响应电流的大小(满度电流I=v/r )。当将电容的两个端点短路,即使电容的初始电压为零 0)0(=C V ,则电容两端的电压为 )1(/τt C e V V --= 电路中电流为 τ /t e r V i -= 其中rc =τ是这个电路的时间常数,若从下图所示响应电流随时间变化的曲线上,任 意选两点P (i 1,t 1)和Q (i 2, t 2) 则由 τ /11t e r V i -= τ/22t e r V i -= 得 τ/)(ln 122 1t t i i -= 于是,可得时间常数τ的关系式 ) /ln(211 2i i t t -= τ 若取 2/12i i = 则 7 .01 2t t -= τ 这样,只要从某点电流值i 1开始计时到i 1/2值所经历的时间除以0.7即为电路的时间常数τ。 图2-1 万用表的欧姆档检查电解点容等效电路 图2-2 点容器接通直流电压时响应 电流

当改变万用表欧姆档的档值时,其中值电阻值也随之改变,即电路的时间常数τ也随之改变,则瞬态响应所经历的时间也随之改变。当被测电容很小时,由于τ太小和表针的惰性,表针还未启动瞬态响应过程已经结束。所以,当电容量小于0.01uF 时,用万用表欧姆档还不能观察到电路的瞬态响应过程,且也只能在R ×10K 档(r 中=240K )观察到表针有摆动的现象,表针未偏转至满度值就返回。 利用上述原理就可用万用表来判别大于0.01uF 的电容器的好坏,若表针不摆动或偏转后不返回,则说明电容器开路或短路。若表针不返回至“∞”处,则说明电容器漏电。 2 积分电路和微分电路 如图所示为一阶RC 串联电路图。 )(t Vs 是周期为T 的方波信号, 设0)0(=C V 则 dt t V RC dt R t V C dt t i C t V R R C ???=== )(1 )(1)(1)( 当时间常数RC =τ很大,即τ》T 时,在方波的激励下,C V 上冲得的电压远小于R V 上的电压,即)(t V R 》)(t V C 因此 )()(t V t Vs R ≈ 所以 dt t V RC t V S C ? ≈ )(1 )( 上式表明,若将)(t V C 作为输出电压,则)(t V C 近似与输出电压)(t Vs 对时间的积分成正比。我们称此时的RC 电路为积分电路,波形如下 如果输出电压是电阻R 上的电压V R (t )则有 dt t dV RC t i R t V C R ) ()()(? =?= V S V 图2-3 一阶RC 串联实验电路图

使用硬件方式对按键进行消抖处理

按键电路:常用的非编码键盘,每个键都是一个常开开关电路。 按键消抖: 通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时,电压信号小型如下图。由于机械触点的弹性作用,一个按键开关在闭合时不会马上稳定地接通,在断开时也不会一下子断开。因而在闭合及断开的瞬间均伴随有一连串的抖动,如下图。抖动时间的长短由按键的机械特性决定,一般为5ms~10ms。这是一个很重要的时间参数,在很多场合都要用到。 按键稳定闭合时间的长短则是由操作人员的按键动作决定的,一般为零点几秒至数秒。键抖动会引起一次按键被误读多次。为确保CPU对键的一次闭合仅作一次处理,必须去除键抖

动。在键闭合稳定时读取键的状态,并且必须判别到键释放稳定后再作处理。按键的抖动,可用硬件或软件两种方法。 <1> 硬件消抖:在键数较少时可用硬件方法消除键抖动。下图所示的RS触发器为常用的硬件去抖。 图中两个“与非”门构成一个RS触发器。当按键未按下时,输出为1;当键按下时,输出为0。此时即使用按键的机械性能,使按键因弹性抖动而产生瞬时断开(抖动跳开B),中要按键不返回原始状态A,双稳态电路的状态不改变,输出保持为0,不会产生抖动的波形。也就是说,即使B 点的电压波形是抖动的,但经双稳态电路之后,其输出为正规的矩形波。这一点通过分析RS触发器的工作过程很容易得到验证。 <2> 软件消抖:如果按键较多,常用软件方法去抖,即检测出键闭合后执行一个延时程序,产生5ms~10ms的延时,让前沿抖动消失后再一次检测键的状态,如果仍保持闭合状态电平,则确认为真正有键按下。当检测到按键释放后,也要给5ms~10ms的延时,待后沿抖动消失后才能转入该键的处理程序。按键消抖

VHDL入门:关于按键消抖的那个process

这学期的EDA课程设计有涉及到一个按键信号稳定的问题,虽然就算没有这块处理,最后成绩只会扣3分,但自己觉得像LED亮度变化,数字钟设置这些功能,如果没有加进一个稳定按键信号的模块,根本不能算是已实现的功能。按键消抖的程序在网上有几种可供选择,但这里只讨论一种,本人觉得简单得来又比较强大的一种。 其实消抖的原理就是把一个按键周期内所输入的所有有效信号,包括那些毛刺,处理成一个脉冲输入。能达到这点,就可以实现消抖功能了。 功能的源代码: 代码中的 key 是按键输入,count 是自定义的计数器,N的值可以根据需要结合时钟频率设置,如果只是想达到按键一次输入一个脉冲的效果,建议 count 的时长设为 5ms,key_en 是处理完后输出的单个脉冲,至于有效信号是 '0' 还是'1' ,这要看板上的电路设计了。此代码中是 '0' 为有效信号。 不要怀疑这段代码有错,理清逻辑后再套用,如果弄不明白什么原理,建议还是别用,用了可能会更糟糕。要注意的是一个 process 中只能有一个时钟信号,否则很容易出错,就算编译通过,实际操作还是不行。所以如果要对多个按键消抖,一定要在 "if clk'event and clk='1' then" 语句的内部增加,别重新设置一个 clk'event 。还有就是按键的消抖功能块最好用单独的 process 运行,将 key_en 设置成新的按键输入信号,而实际的输入信号 key 只在按键消抖的process 中读入。 之所以说这种消抖方法简单得来又比较强大,是因为这方法不需要用到什么状态机、component 之类较高级点的东西,只需要多个 process 即可;另外这种方法还有其他的拓展用途,比如可以利用这个 count 延时周期设置一个短按键和长按键的识别,实现长按此键切换或者 reset 等等的功能,这里不详细解释。这种拓展的用途是用 D触发器消抖的方式没办法实现的(其实是我还没想到,难讲有高手可以实现)。 这篇小日志是个人学习成长的一个记录见证,也希望它能给那些刚开始学习VHDL的同学们一点帮助。不想每个初学者都像我这样要用一天只睡两个钟的代

浙江大学实验报告:一阶RC电路的瞬态响应过程实验研究

三墩职业技术学院实验报告课程名称:电子电路设计实验指导老师:成绩:__________________ 实验名称:一阶RC电路的瞬态响应过程实验研究实验类型:探究类同组学生姓名:__ 一、实验目的二、实验任务与要求 三、实验方案设计与实验参数计算(3.1 总体设计、3.2 各功能电路设计与计算、 3.3完整的实验电路……) 六、实验调试、实验数据记录七、实验结果和分析处理 八、讨论、心得 一、实验目的 1、熟悉一阶RC电路的零状态响应、零输入响应过程。 2、研究一阶RC电路在零输入、阶跃激励情况下,响应的基本规律和特点。 3、学习用示波器观察分析RC电路的响应。 4、从响应曲线中求RC电路的时间常数。 二、实验理论基础 1、一阶RC电路的零输入响应(放电过程) 零输入响应:

电路在无激励情况下,由储能元件的初始状态引起的响应,即电路初始状态不为零,输入为零所引起的电路响应。 (实际 上是 电容器C 的 初始电压经电阻R 放电过程。) 在图1中,先让开关K 合于位置a ,使电容C 的初始电压值0)0(U u c =-,再将开关K 转到位置b 。 电容器开始放电,放电方程是 可以得出电容器上的电压和电流随时间变化的规律: 衰减到1/e (36.8%))0(u c 所需要的 式中τ=RC 为时间常数,其物理意义是 时间,反映了电路过渡过程的快慢程度。τ越大,暂态响应所持续的时间越长,即过渡过程的时间越长;反之,τ越小,过渡过程的时间越短。时间常数可以通过相 应的衰减曲线来反应,如图2。由于经过5τ时间后,已经衰减到初态的1%以 下,可以认为经过5τ时间,电容已经放电完毕。 图2 2、一阶RC 电路的零状态响应(充电过程) 所谓零状态响应是指初始状态为零,而输入不为零所产生的电路响应。一阶RC 电路在阶跃信号激励下的零状态响应实际上就是直流电源经电阻R 向C 充电的过程。在图1所示的一阶电路中,先让开关K 合于位置b ,当t = 0时,将开关K 转到位置a 。 电容器开始充电,充电方程为 图1 ) 0(0≥=+t dt du RC u C C ) 0()0()(0≥- =- =---t e R U R e u t i t RC t C C τ ) (u t C )0()0()(0≥==- - -t e U e u t u t RC t C C τ )(u t C 装 订

Verilog写的按键消抖程序

前几天看了特权同学用Verilog写的按键消抖程序,感觉很经典。在这里将程序贴出来分享一下。 module lcd_button2(clk,rst,seg,wei,sw1,sw2,sw3,sw4);//按键按下,数码管依次显示0-9 input clk; input rst; input sw1,sw2,sw3,sw4; output [3:0] wei; output[7:0] seg; reg [7:0] seg; reg [3:0] wei; integer num; initial begin num = 0; end reg[3:0] key_rst; always @(posedge clk or negedge rst) if(!rst) key_rst <= 4'b1111; else key_rst <= {sw4,sw3,sw2,sw1}; reg[3:0] key_rst_r; always @(posedge clk or negedge rst) if(!rst) key_rst_r <= 4'b111; else key_rst_r <= key_rst; wire[3:0] key_an = key_rst_r & (~key_rst); reg[19:0] cnt; always @(posedge clk or negedge rst) if(!rst) cnt <= 0; else if(key_an) cnt <= 0; else cnt <= cnt+1'b1; reg [3:0] low_sw; always @(posedge clk or negedge rst)

最新动态电路的暂态分析

动态电路的暂态分析

第六章 动态电路的暂态分析 本章的主要任务是认识动态电路的过渡过程,学习动态电路过渡过程的变化规律,掌握动态电路过渡过程的基本分析方法。 本章基本要求 1. 了解动态电路过渡过程产生的原因。 2. 正确理解电路的换路定律。 3. 求解电路的初始值和稳态值。 4. 正确理解动态电路的零输入响应、零状态响应和全响应。 5. 掌握动态电路暂态分析的经典法。 6. 掌握一阶电路的三要素分析法。 7. 一阶电路过渡过程变化规律及其物理意义。 本章习题解析 6-1 电路如图6-1所示,已知6=U V ,Ω=51R ,Ω=12R ,Ω=43R ,开关S 闭合前电路已处于稳态。0=t 时开关S 闭合。试求+=0t 时的C u 、L u 、i 、i C 和i L 。 图6-1 3 i R 1 R 3 3

t = 0-时等效电路 t = 0+时等效电路 图6-1(a) 图6-1 (b) 解 (1)画出换路前t = 0-时的等效电路,如图6-1(a)所示,得 A 1)0(2 1=+= -R R U i L 1)0(22 1=+= -R R R U u C V 由换路定律,得 A 1)0()0(==-+L L i i , V 1)0()0(==-+C C u u (2)画出换路后t = 0+时的等效电路,如图6-1(b)所示,得 ()25.14 1 60=-= +C i A ()25.010)0(=-=++C i i A ()()5100=?-=++C C i u u V 6-2 电路如图6-2所示,已知220=U V ,Ω=1201R ,Ω=3202R , Ω=1003R ,1=L H ,10=C μF ,0=t 时开关S 闭合。试求:(1)+=0t 时的i 、 1i 、2i 、L u 、C u ;(2)当电路进入稳态后)(∞=t ,计算上述电流和电压的值。 3 图6-2

课程设计报告矩阵键盘控制

北华航天工业学院 《EDA技术综合设计》 课程设计报告 报告题目:矩阵键盘控制接口设计作者所在系部:电子工程系 作者所在专业:电子信息工程 作者所在班级: 作者姓名: 作者学号:0 指导教师姓名: 完成时间:2009-12-18

内容摘要 本课程设计所用实验器材主要有计算机和北京精仪达盛科技有限公司的EL教学实验箱。经编译、仿真,检查无误并且符合设计要求后,正确的将脉冲源、FLEX10K \ EPF10K10LC84-3芯片、5行×6列薄膜轻触按键键盘、数码管按设计要求连接好。将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中,则可观察到预期的实验效果,即当按下某一键时,在数码管上显示该键对应的键值。 本课程设计需设计键盘接口消抖动元件(底层文本)和矩阵键盘接口电路(顶层文本)。在顶层文本中包含扫描信号发生模块、按键消抖动模块、按键译码模块、寄存器-选择器模块和数码管的译码模块。 关键词:VHDL语言 EDA技术按键消抖动电路键盘扫描电路键值译码电路按键码存储电路显示键值电路

目录 一概述 (5) 二方案设计与论证 (5) 三单元电路设计 (6) 1.键盘接口消抖动元件 (6) 2.时钟产生电路 (6) 3.键盘扫描电路 (7) 4.键盘译码电路 (7) 5.寄存器_选择器模块电路 (7) 6.译码模块电路 (7) 四器件编程与下载 (8) 五性能测试与分析 (16) 六实验设备 (16) 七心得体会 (16) 八参考文献 (17)

课程设计任务书

一、概述 本课程设计的基本原理是在时钟信号的控制下,使数码管上显示所按下的键值,并 且能够保持直到下一个按键被按下。首先,构思一个8×4的矩阵键盘控制顶层电路的 模块划分图兼端口及内部信号定义图,再用VHDL语言编辑文本程序,需先录入底层文 件(键盘接口消抖动元件DEBOUNCING的描述)再录入键盘接口电路主程序,保存编译 并检查程序是否有语法错误,再仿真观察波形是否符合所预期的设计要求,当一切都满 足要求后,即可将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中。正确的将 脉冲源、FLEX10K \ EPF10K10LC84-3芯片、5行×6列薄膜轻触按键键盘、数码管按设 计要求连接好。再将程序下载到实验箱的FLEX10K \ EPF10K10LC84-3芯片中,则可观 察到预期的实验效果,即当按下某一键时,在数码管上显示该键对应的键值。如:当按 下5时,数码管显示05。 二、方案设计与论证 把该矩阵键盘控制器分为两部分进行设计,先设计键盘接口消抖动电路,再设计键盘接口电路的主程序。 在主程序中包含时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路、按键码存储电路、显示电路模块并且分别进行分析来实现所需的功能。 实验仪器中4×8矩阵键盘的电路原理图如图所示 图1. 4×8矩阵键盘的电路原理图

相关主题
文本预览
相关文档 最新文档