当前位置:文档之家› vhdl基本语法(简略共34页)

vhdl基本语法(简略共34页)

vhdl基本语法(简略共34页)
vhdl基本语法(简略共34页)

VHDL硬件描述语言

1.1 VHDL概述

1.1.1 VHDL的特点

VHDL语言作为一种标准的硬件描述语言,具有结构严谨、描述能力强的特点,由于

VHDL语言来源于C、Fortran等计算机高级语言,在VHDL语言中保留了部分高级语言的原

语句,如if语句、子程序和函数等,便于阅读和应用。具体特点如下:

1. 支持从系统级到门级电路的描述,既支持自底向上(bottom-up)的设计也支持从顶向下

(top-down)的设计,同时也支持结构、行为和数据流三种形式的混合描述。

2. VHDL的设计单元的基本组成部分是实体(entity)和结构体(architecture),实体包含设

计系统单元的输入和输出端口信息,结构体描述设计单元的组成和行为,便于各模块之间数

据传送。利用单元(componet)、块(block)、过程(procure)和函数(function)等语句,

用结构化层次化的描述方法,使复杂电路的设计更加简便。采用包的概念,便于标准设计文

档资料的保存和广泛使用。

3. VHDL语言有常数、信号和变量三种数据对象,每一个数据对象都要指定数据类型,VHDL

的数据类型丰富,有数值数据类型和逻辑数据类型,有位型和位向量型。既支持预定义的数

据类型,又支持自定义的数据类型,其定义的数据类型具有明确的物理意义,VHDL是强类

型语言。

4. 数字系统有组合电路和时序电路,时序电路又分为同步和异步,电路的动作行为有并行

和串行动作,VHDL语言常用语句分为并行语句和顺序语句,完全能够描述复杂的电路结构

和行为状态。

1.1.2 VHDL语言的基本结构

VHDL语言是数字电路的硬件描述语言,在语句结构上吸取了Fortran和C等计算机高级

语言的语句,如IF语句、循环语句、函数和子程序等,只要具备高级语言的编程技能和数字

逻辑电路的设计基础,就可以在较短的时间学会VHDL语言。但是VHDL毕竟是一种描述

数字电路的工业标准语言,该种语言的标识符号、数据类型、数据对象以及描述各种电路的

语句形式和程序结构等方面具有特殊的规定,如果一开始就介绍它的语法规定,会使初学者

感到枯燥无味,不得要领。较好的办法是选取几个具有代表性的VHDL程序实例,先介绍整

体的程序结构,再逐步介绍程序中的语法概念。

一个VHDL语言的设计程序描述的是一个电路单元,这个电路单元可以是一个门电路,

或者是一个计数器,也可以是一个CPU。一般情况下,一个完整的VHDL语言程序至少要包含程序包、实体和结构体三个部分。实体给出电路单元的外部输入输出接口信号和引脚信

息,结构体给出了电路单元的部结构和信号的行为特点, 程序包定义在设计结构体和实体

中将用到的常数、数据类型、子程序和设计好的电路单元等。

一位全加器的逻辑表达式是:

S=A⊕B⊕Ci

Co=AB+ACi+BCi

全加器的VHDL程序的文件名称是fulladder.VHD,其中VHD是VHDL程序的文件扩展名,

程序如下:

LIBRARY IEEE; --IEEE标准库

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY fulladder IS -- fulladder是实体名称

PORT(

A, B, Ci : IN STD_LOGIC; --定义输入/输出信号

Co, S : OUT STD_LOGIC

);

END fulladder;

ARCHITECTURE addstr OF fulladder IS --addstr是结构体名

BEGIN

S <= A XOR B XOR Ci;

Co <= (A AND B) OR (A AND Ci) OR (B AND Ci);

END addstr;

从这个例子中可以看出,一段完整的VHDL代码主要由以下几部分组成:

第一部分是程序包,程序包是用VHDL语言编写的共享文件,定义在设计结构体和实体

中将用到的常数、数据类型、子程序和设计好的电路单元等,放在文件目录名称为IEEE的

程序包库中。

第二部分是程序的实体,定义电路单元的输入/输出引脚信号。程序的实体名称fulladder

是任意取的,但是必须与VHDL程序的文件名称相同。实体的标识符是ENTITY,实体以

ENTITY开头,以END结束。其中,定义A、B、Ci是输入信号引脚,定义Co和S是输出信号引脚。第三部分是程序的结构体,具体描述电路的部结构和逻辑功能。结构体有三种描述方

式,分别是行为(BEHA VIOR)描述、数据流(DATAFLOW)描述方式和结构(STRUCTURE)

描述方式,其中数据流(DA TAFLOW)描述方式又称为寄存器(RTL)描述方式,例中结

构体的描述方式属于数据流描述方式。结构体以标识符ARCHITECTURE开头,以END结

尾。结构体的名称addstr是任意取的。

小提示:

VHDL每条语句是以分号“;”作为结束符的,并且VHDL对空格是不敏感的,所以符合

之间空格的数目是可以自己设定的。可以按自己的习惯任意添加,增强代码可读性。

1.1.3 VHDL语言的实体(ENTITY)说明语句

实体是VHDL程序设计中最基本的组成部分,在实体中定义了该设计芯片中所需要的输

入/输出信号引脚。端口信号名称表示芯片的输入/输出信号的引脚名,这种端口信号通常被

称为外部信号,信号的输入/输出状态被称为端口模式,在实体中还定义信号的数据类型。

实体说明语句的格式为:

ENTITY 实体名称IS

GENERIC(

常数名称1:类型[:=缺省值];

常数名称2:类型[:=缺省值];

常数名称N:类型[:=缺省值];

);

PORT(

端口信号名称1:输入/输出状态数据类型;

端口信号名称2:输入/输出状态数据类型;

端口信号名称N:输入/输出状态数据类型

);

END 实体名称;

小提示:

VHDL语言具有87标准与93标准两种格式,以上为VHDL的87标准,对于93标准要

使用END ENTITY 实体名称;结束实体。注意为了保证代码的可综合性与通用性,最

好采用87标准的VHDL格式,有些EDA工具不一定支持93标准的VHDL语言格式。

(Quartus II支持VHDL93、87标准)

类属GENERIC常用来定义实体端口大小,数据宽度,元件例化数目等。一般在简单的

设计中不常用。

例1-1-2一个同步十六进制加法计数器,带有计数控制、异步清零、和进位输出等功能。

电路有三个输入端和五个输出端,分别是时钟脉冲输入端CLK,计数器状态控制端EN,异

步清零控制端Rd,四位计数输出端Q0, Q1, Q2, Q3和一个进位输出端Co。当计数器输出

0000~1110时,Co=0,只有当计数器输出1111时,Co=1。

该设计的实体部分如下:

ENTITY cntm16 IS

PORT(

EN : IN STD_LOGIC;

Rd : IN STD_LOGIC;

CLK : IN STD_LOGIC;

Co : OUT STD_LOGIC;

Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END cntm16;

1. 实体名称表示所设计电路的电路名称,必须与VHDL文件名相同,实体名称是“cntm16”,

所存的VHDL文件名必须是“cntm16.VHD”。

2. 端口信号名称表示芯片的输入/输出信号的引脚名,这种端口信号通常被称为外部信号,

端口信号名称可以表示一个信号,也可以表示一组信号(BUS),由数据类型定义,如

EN,Rd,CLK,Co分别表示计数允许信号,异步清零信号,时钟输入信号和进位输出

信号,Q是一组输出信号,用来表示四位同步二进制计数器的四位计数输出信号。

3. 端口信号输入/输出状态有以下几种状态:

IN 信号进入电路单元。

OUT 信号从电路单元输出。

INOUT 信号是双向的,既可以进入电路单元也可以从电路单元输出。

BUFFER 信号从电路单元输出,同时在电路单元部可以使用该输出信号。

小提示:

O UT 与BUFFER信号的区别就在于信号是否往部有反馈,将输出端口定义为

BUFFER型,可以省去一个用于中间运算的一个临时信号,但是并不推荐这么做。

4. 端口数据类型(TYPE)定义端口信号的数据类型,在VHDL中,常用的端口信号数据类型如下:

(1)位(BIT)型:表示一位信号的值,可以取值‘0’和‘1’,放在单引号里面表示,

如X < =‘1’,Y <=‘0’。

(2)位向量(BIT_VECTOR)型:表示一组位型信号值,在使用时必须标明位向量的宽

度(个数)和位向量的排列顺序,例如:Q : OUT BIT_VECTOR(3 downto 0),表示

Q3,Q2,Q1,Q0四个位型信号。位向量的信号值放在双引号里面表示,例如Q <= “0000”;

(3)标准逻辑位(STD_LOGIC)型:IEEE标准的逻辑类型,它是BIT型数据类型的扩展,可以取值‘U’,‘X’,‘0’,‘1’,‘Z’,‘W’,‘L’,‘H’,‘-’

等。

(4)标准逻辑位向量(STD_LOGIC_VECTOR)型:IEEE标准的逻辑向量,表示一组标

准逻辑位型信号值。

VHDL是与类型高度相关的语言,不允许将一种数据类型的信号赋予另一种数据类型的

信号。除了上述介绍的数据类型外,还有其他多种数据类型用于定义部信号和变量,请参

见1-2节。

小提示:

相同类型(模型相同,数据类型相同)的端口可以写在同一行,如:

ENTITY cntm16 IS

PORT(

EN, Rd,CLK : IN STD_LOGIC;

Co : OUT STD_LOGIC;

Q : BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)

);

END cntm16;

此外要注意,最后一个端口结尾没有分号!

1.1.4 VHDL语言的结构体(ARCHITECTURE)

结构体是VHDL程序设计中的最主要组成部分,是描述设计单元的具体结构和功能,在

程序中,结构体放在实体的后面。每一个结构体都有名称,结构体的名称是由设计者任取的,结构体是以标识符ARCHITECTURE开头,以END结尾。结构体可以有三种描述方式,分别是行为(BEA VHER)描述方式、数据流(DATAFLOW)描述方式和结构(STRUCTURE)

描述方式,其中数据流(DA TAFLOW)描述方式又称为寄存器(RTL)描述方式。不同的

结构体采用不同的描述语句。

结构体的一般格式为:

ARCHITECTURE 结构体名OF 实体名称IS

说明语句

BEGIN

电路描述语句

END 结构体名;

结构体说明语句是对结构体中用到的数据对象的数据类型、元件和子程序等加以说明。

电路描述语句用并行语句来描述电路的各种功能,这些并行语句包括并行信号赋值语句、

条件赋值(WHEN-ELSE)语句、进程(PROCESS)语句、元件例化(COMPONET MAP)语

句和子程序调用语句等。

小提示:

结构体中定义的参数(信号,变量等)名称不能与其所属实体的端口名重名。

结构体的结束语句也可以写成END ARCHITECTURE 结构体名,或者简写为END。

例1-1-2设计程序的结构体部分如下:

ARCHITECTURE counstr OF cntm16 IS

BEGIN

Co <= .1.WHEN (Q =”1111”AND EN =.1.) ELSE .0.; --条件赋值语句

PROCESS (CLK, Rd) --PROCESS语句

BEGIN

IF (Rd=.0.) THEN --IF语句

Q<= ”0000”;

ELSIF (CLK. EVENTAND CLK=.1.) THEN --CLK上升沿计数

IF(EN=.1.) then

Q <= Q+1;

END IF;

END IF;

END PROCESS;

END counstr;

结构体的名称是counstr,该结构体属于行为描述方式,采用多种描述语句,如进程

(PROCRESS)语句,条件赋值语句(WHEN-ELSE),顺序语句(IF-ELSE )等,这些语

句的具体用法参见1-3节相关容。

小提示:

一个实体可以有多个结构体(反之不成立),多个结构体代表实体实现的多种方式,同一

个实体的各结构体之间地位等同,可以采用配置语句将特定的某个结构体关联到实体,这

样使同一个实体可以设计为多种实现功能,但是笔者不推荐使用多个结构体来实现实体功

能,因为在综合时,配置语句是不可综合的,所以尽量每个实体仅一个结构体表述完整,

这样比较清晰,整体化。所以就不介绍配置语句了,有兴趣的读者请查阅相关教材。

1.1.5 程序包(PACKAGE)、库(LIBRARY)和USE语句

程序包定义了一组标准的数据类型说明、常量说明、元件说明、子程序说明和函数说明

等,它是一个用VHDL语言描写的一段程序,可以供其他设计单元调用。它如同C语言中的*.H文件一样,定义了一些数据类型说明和函数说明。在一个设计单元中,在实体部分所定义的数据类型、常数和子程序在相应的结构体中是可以被使用的(可见的),但是在一个实体的说明部分和结构体部分中定义的数据类型、常量及子程序却不能被其它设计单元的实体和结构体使用(不可见)。程序包就是为了使一组类型说明、常量说明和子程序说明对多个设计单元都可以使用而提供的一种结构。程序包分为两大类,即VHDL预定义标准程序包和用户定义的程序包。VHDL设计中常用的标准程序包的名称和容如见表1-1-3所列。用户定义的程序包是设计者把预先设计好的电路单元设计定义在一个程序包中,放在指定的库中,以供其它设计单元调用,如果在设计中要使用某个程序包中的容时,可以用USE语句打开该程序包。有关程序包的设计方法参见1-4-5节的容。

库(LIBRARY)是专门用于存放预先编译好的程序包的地方,它实际上对应一个文件

目录,程序包的文件就存放在此目录中。库名与目录名的对应关系可以在编译程序中指定,

库的说明总是放在设计单元的最前面。例如,对IEEE标准库的调用格式为:

LIBRARY IEEE;

表1-1-3 IEEE两个标准库STD和IEEE中的程序包

表1-1-3 IEEE两个标准库

STD和IEEE中的程序包库

程序包名定义的容

STD STANDARD

TEXTIO 定义VHDL的数据类型,如BIT,BIT_VECTOR等TEXT读写控制数据类型和子程序等

IEEE STD_LOGIC_1164 定义STD_LOG,

STD_LOGIC_VECTOR等

STD_LOGIC_ARITH 定义有符号与无符号数据类型,基于这些数

据类型的算术运算符,如“+”, “-”, “?”,

“/”SHL, SHR等

STD_LOGIC_SIGNED 定义基于STD_LOGIC与

STD_LOGIC_VECTOR数据类型上的有符号

VHDL程序中常用的库有STD库、IEEE库和WORK等。其中STD和IEEE库中的标准程序包是由提供EDA工具的厂商提供的,用户在设计程序时可以用相应的语句调用。

(1)STD库

STD库是VHDL语言标准库,库中定义了STANDARD和TEXTIO两个标准程序包。STANDARD程序包中定义了VHDL的基本的数据类型,如字符(CHARACTER)、整数(INTEGER)、实数(REAL)、位型(BIT)和布尔量(BOOLEAN)等。用户在程序中

可以随时调用STANDARD包中的容,不需要任何说明。TEXTIO程序包中定义了对文本

vhdl基本语法

VHDL 基础语法篇——VHDL VHDL硬件描述语言 1.1 VHDL概述 1.1.1 VHDL的特点 VHDL语言作为一种标准的硬件描述语言,具有结构严谨、描述能力强的特点,由于 VHDL语言来源于C、Fortran等计算机高级语言,在VHDL语言中保留了部分高级语言的原 语句,如if语句、子程序和函数等,便于阅读和应用。具体特点如下: 1. 支持从系统级到门级电路的描述,既支持自底向上(bottom-up)的设计也支持从顶向下 (top-down)的设计,同时也支持结构、行为和数据流三种形式的混合描述。 2. VHDL的设计单元的基本组成部分是实体(entity)和结构体(architecture),实体包含设 计系统单元的输入和输出端口信息,结构体描述设计单元的组成和行为,便于各模块之间数 据传送。利用单元(componet)、块(block)、过程(procure)和函数(function)等语句, 用结构化层次化的描述方法,使复杂电路的设计更加简便。采用包的概念,便于标准设计文 档资料的保存和广泛使用。 3. VHDL语言有常数、信号和变量三种数据对象,每一个数据对象都要指定数据类型,VHDL 的数据类型丰富,有数值数据类型和逻辑数据类型,有位型和位向量型。既支持预定义的数 据类型,又支持自定义的数据类型,其定义的数据类型具有明确的物理意义,VHDL是强类 型语言。 4. 数字系统有组合电路和时序电路,时序电路又分为同步和异步,电路的动作行为有并行 和串行动作,VHDL语言常用语句分为并行语句和顺序语句,完全能够描述复杂的电路结构 和行为状态。 1.1.2 VHDL语言的基本结构 VHDL语言是数字电路的硬件描述语言,在语句结构上吸取了Fortran和C等计算机高级 语言的语句,如IF语句、循环语句、函数和子程序等,只要具备高级语言的编程技能和数字 逻辑电路的设计基础,就可以在较短的时间内学会VHDL语言。但是VHDL毕竟是一种描述 数字电路的工业标准语言,该种语言的标识符号、数据类型、数据对象以及描述各种电路的 语句形式和程序结构等方面具有特殊的规定,如果一开始就介绍它的语法规定,会使初学者 感到枯燥无味,不得要领。较好的办法是选取几个具有代表性的VHDL程序实例,先介绍整 体的程序结构,再逐步介绍程序中的语法概念。 一个VHDL语言的设计程序描述的是一个电路单元,这个电路单元可以是一个门电路, 或者是一个计数器,也可以是一个CPU。一般情况下,一个完整的VHDL语言程序至少要包含程序包、实体和结构体三个部分。实体给出电路单元的外部输入输出接口信号和引脚信 息,结构体给出了电路单元的内部结构和信号的行为特点, 程序包定义在设计结构体和实体 中将用到的常数、数据类型、子程序和设计好的电路单元等。 一位全加器的逻辑表达式是: S=A⊕B⊕Ci Co=AB+ACi+BCi 全加器的VHDL程序的文件名称是fulladder.VHD,其中VHD是VHDL程序的文件扩展名, 程序如下: LIBRARY IEEE; --IEEE标准库 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fulladder IS -- fulladder是实体名称 PORT( A, B, Ci : IN STD_LOGIC; --定义输入/输出信号 Co, S : OUT STD_LOGIC ); END fulladder; ARCHITECTURE addstr OF fulladder IS --addstr是结构体名 BEGIN S <= A XOR B XOR Ci; Co <= (A AND B) OR (A AND Ci) OR (B AND Ci); END addstr; 从这个例子中可以看出,一段完整的VHDL代码主要由以下几部分组成: 第一部分是程序包,程序包是用VHDL语言编写的共享文件,定义在设计结构体和实体

英语语法基础入门

英语基础语法知识(一) 第一节词类和句子成分 一、词类 能够自由运用的最小语言单位叫词。根据词的形式、意义及其在句中的作用所作的分类叫词类(parts of speech)。 英语的词通常分为十大类,即名词、冠词、代词、数词、形容词、副词、动词、介词、连词和感叹词。现分别叙述如下: (一)名词 名词(noun)是表示人、事物、地点或抽象概念的名称。例如: foreigner外国人 soap 肥皂Newton牛顿 law 法律freedom自由peace和平 英语名词可分为两大类: < 1。普通名词(common noun)是某一类人、事物、某种物质或抽象概念的名称。例如: teacher教师 market市场rice大米 magazine杂志sound声音production生产 2。专有名词(proper noun)是特定的某人、地方或机构的名称。专有名词的第一个字母必须 大写。例如: Hemingway海明威 Russia 俄罗斯 New York 纽约 United Nations联合国 名词又可分为可数名词(countable noun)与不可数名词(uncountable noun)两种。可数名词有单、复数之分。绝大多数名词的复数形式的构成是在单数名词的后面加-s或-es。例如: shop→shops商店 bus→buses 公共汽车 library→libraries图书馆

toy→toys玩具leaf→leaves树叶 英语中有一些名词的复数形式是不规则的。例如: man→men男人tooth→teeth牙齿datum→data数据 有关名词复数形式构成的具体规则,请参阅有关的英语语法书。 (二)冠词 冠词(article)放在名词之前,帮助说明该名词所指的对象。冠词分为不定冠词(indefinite article)和定冠词(definite article)两种。 不定冠词为a/an,用在单数名词之前,表示某一类人或事物的“一个”。a用在以辅音开头的名词之前,an用在以元音开头的名词之前。例如: a hotel 一家旅馆 a chance 一次机会 a double room一个双人间 a useful book一本有用的书 an exhibition一次展览an honest man一个诚实的人 冠词只有一个,既the,表示某一类人或事物中特定的一个或一些。可用于单数或复数名词前,也可用于不可数名词前。例如: · the TV programs那些电视节目 the house那座房子 the Olympic Games奥运会 (三)代词 代词(pronoun)是用来指代人或事物的词。代词包括: 1。人称代词,如:I, you, they, it等; 2。物主代词,如:my, his, their, our, mine, hers等; 3。反身代词,如:myself, yourself, itself, ourselves, oneself等; 4。相互代词,如:each other, one another等; 5。指示代词,如:this, that, these, those, such, same等;

法语基本语法

I主语人称代词 如同英语中人称代词主格,用作主语。 1)1)1)tu 用作家人、好友间;vous(您)礼貌、尊重。 2)2)2)第三人称也可用作(它,它们) * 抽象名词前,一般用定冠词Je n’aime pas le café. * 名词作表语,表身份、职业、国籍时,可省冠词Je suis étudiant. * 定冠词le les前有介词à, de 时,要缩合au(à + le) , aux (à + les) , du ( de + le ) , des ( de + les )。Nous allons au magasin. III名词 1.1.1.阴阳性人与动物的自然性别或固定用法un étudiant/ une étudiante le frère/ la soe ur une valise mon bureau 某些行业无阴性,但可用于女性un professeur 2.2.2.复数词尾加s 但不发音;un stylo/des stylos, une chaise / des chaises; -s –x –z 单复数相同un cours / des cours;-eau, -au, -eu结尾复数加x 但不发音un tableau / des tableaux;-al结尾复数改为-aux un journal/ des journaux 3.3.3.种类月份、星期、语种在法语中不属于专用名词,词首不用大写décembre, fran?ais IV动词变位 法语按动词变位分为三组: 第一组-er结尾;第二组-ir结尾;第三组-re, -oir, -ir结尾 直陈式现在时(英语中的现在进行时和一般现在时)中,第一组动词变位如下: parler je parle vous parlez tu parles nous parlons il parle ils parlent V一般疑问句 1.陈述句型,语调上升V ous parlez anglais ? 2.主谓倒置,中加- Etes-vous médecins ? 第三人称单数不以t, d结尾时A-t-il un manuel de fran?ais ? 3.疑问词放前Est-ce qu’elle a un manuel de fran?ais ?

vhdl语法格式(1)

上篇基础元素 目录:数据类型数据对象运算符语句基本程序结构电路描述方式 数据类型 预定义类型 bit bit_victor integer std_logic std_logic_victor 自定义类型 枚举类型 type 新数据类型 is (元素1, 元素2, ...) 例定义 type state_type is (s1, s2, s3. s4); -- 定义一个新类型state_type 引用 signal state : state_type; -- 定义一个信号state,类型为state_type 数组类型 type 数组 is array (范围) of 数据类型; 例定义 type byte is array (7 downto 0) of bit; -- 定义一个8bit的数组 type word is array (31 downto 0) of bit; -- 定义一个32bit的数组 数据对象 端口声明端口 : in | out 数据类型; -- 端口在特性上等同于信号,但赋值在entity的port中赋值端口 <= 表达式; 信号声明signal 信号 : 数据类型; 赋值信号 <= 表达式; 变量声明varable 变量 : 数据类型; 赋值变量 := 表达式; 常数声明常数 : 数据类型 := 数值; 运算符 算术运算 +, -, * 并置运算 & 关系运算 =, /=, <, <=, >, >= 逻辑运算 and, or, not, nand, nor, xor, xnor 语句 并行语句 ⑴信号赋值语句 简单信号赋值语句信号 <= 表达式; 选择信号赋值语句 with 选择表达式 select

初中基本语法知识(全)

基本语法知识 根据词的形式、意义及其在句中的功用将词分为若干类,叫做词类。一个句子由各个功用不同的部分所构成,这些部分叫做句子成分。 学一个词,要学它的发音、拼法、意义,也要记它的词类;更重要的是要了解它和其他词的关系,及其在句中作什么句子成分。如China is in East Asia(中国位于东亚)一句中的China这个单词所属的词类是名词,在句子中作主语。 词类(parts of speech) 英语的词通常分为十大类: 1)名词(noun,缩写为n.)是人和事物的名称,如pen(钢笔),English(英语),life(生活)。 2)代词(pronoun,缩写为pron.)是用来代替名词的词,如we(我们),his(他的) 3)形容词(adjective,缩写为adj.)用来修饰名词,如great(伟大的),honest(诚实的),difficult(困难的)。 4)数词(numeral,缩写为num.)是表示"多少"和"第几"的词,如four(四),eighteen(十八),first(第一),eighth(十八),hundred(一百)。 5)动词(verb,缩写为v)表示动作和状态,如write(写),walk(行走),think(想)。 6)副词(adverb,缩写为。adv.)是修饰动词、形容词和副词的词,如quickly(快),often(经常),very(很)。 7)冠词(article,缩写为art.)说明名词所指的人或物的词,如a,an(一个),the(这,那)。 8)介词(preposition,缩写为prep.)表示名词(或代词)与句子里其它词的关系,如 from(从),in(在…内),between(在…之间)。 9)连词(conjunction,缩写为conj.)是连接词、短语、从句和句子的词,如and(和),because(因为),if(假如)。 10)感叹词(interjection,缩写为int.)表示感情,如。oh(噢),aha(啊哈),hush(嘘)。[注一]属于前六类(名、代、形、数、动、副等词)的词都有实义,叫做实词(notional word)。属于后四类(冠、介、连、感等词)的词没有实义,叫做虚词(form word)。 [注二]不少词可以属于几个词类,如work(工作;动词和名词),fast(快;形容词和副词),since(自从;连词和介词)等。 句子成分(members of the sentence)

法语语法大全

]法语学习总结 1形容词和副词的比较级和最高级 形容词的比较级: 1.构成 较高程度:plus + 形容词. + que 同等程度:aussi + 形容词. + que 较低程度:moins +形容词.. + que 2.与英语中的形容词比较级相同。 Marie est plus intelligente que son frère. Tu es aussi grand que moi, dit Paul. En été, il fait moins chaud à Shanghai qu’à Nanjing. Y a-t-il un ordinateur moins cher, s’il vous pla?t ? Paul est moins jeune que Georges. Ce texte est beaucoup plus difficile à comprendre. Ma soeur est plus jeune que moi de deux ans. Son ami est plus grand qu’elle d’une tête.

II形容词最高级: 1.构成: 最高程度:le (la, les) + plus + 形容词 最低程度:le (la, les) + moins + 形容词 2.用法: 与英语中的形容词最高级相同,但定冠词要与有关形容词的性、数一致,最高级的补语(即比较范围),通常由介词de引导。 Paris est la plus belle ville de France, je crois. Ces deux chambres sont les moins grandes de l’h?tel. 形容词的最高级有时放在名词后面,重复定冠词: Paris est la ville la plus belle de France. Voilà les romans les plus intéressants de notre bibliothèqu e. Dupont est un de mes plus vieux amis. Shanghai est un des ports les plus importants de Chine. III几个特殊词形的形容词比较级和最高级 Bon(ne)(s)(nes) Meilleur(e)(s)(es) Le/la/les meilleur Mauvais(e)(es) Pire(s)plus mauvais Le/la/les pire(s)Le/la/l es plus mauvais Petit(e)(s)(es) moindreplus petit le moindrele plus petit La plaisanterie la plus courte est souvent la meilleure.

(完整版)汉语语法基础知识

汉语语法基础知识 词类和词性 (一)知识概述 词类是指词在语法上的分类,也就是把汉语里的所有词,根据它们的词汇意义和语法特点进行分类,这样得出的结果就是词类。现代汉语教学系统把词分为十二类: 实词可以分为: 1、名词:表示人或事物名称的词叫名词。 (1)表示人:老师、学生、作家、工人、鲁迅 (2)具体事物:天、地、花、草、天空、海洋 (3)抽象概念:方法、科学、法律、事业 (4)处所:北京、青岛、黄河、长江、三味书屋 (5)方位:东、西、南、北、上、下、前、后、左、右、里、外、内、中、间、旁、以前、以南、之下、之后、东边、西面、里头。 (6)时间:早晨、正午、晚上、半夜、上午、白天、夏天、立秋、今天、星期二 2、动词:表示动作行为、发展变化、心理活动等意义的词叫动词。 (1) 动作行为:穿、跳、走、纪念、朗诵。 (2) 存在变化:有、增加、缩小、扩大、发生。 (3) 心理活动:想、懊悔、喜欢、担心。 (4) 可能意愿:应该、应当、能够、愿意、必须、敢、肯、会、能、要、可以。 (5) 趋向:上、下、来、去、上去、下去、进来、进去、起来、上来。 (6) 判断:是、就是、正是 (7) 使令:使、让、派、请、叫、要求、命令、推举、允许、鼓动、鼓励。 3、形容词:表示事物的形状、性质或状态的词叫形容词。 (1)形状:大、小、高、圆、长、短、高大、肥胖。 (2)性质:好、坏、镇定、勇敢、乐观、伟大、优秀 (3)状态:愉快、慌张、急躁、迅速、朦胧、桔红 4、数词:表示数目的词叫数词。 (1)基数(确数)一、二、千、万、亿 (2)序数:第一、三叔、三年级、六楼、初五、老三。 (3)分数:三分之一、九成 (4)倍数:三倍、十倍、翻一番 (5)概数:十几概数、十余人、三十多岁、两三个、成千上万、很多人 5、量词:表示事物单位或行为、动作单位的词叫量词。 无量(表示人或事物单位的词) (1)个体:个、位、尺、只、台、条 (2)集体:批、帮、群、套、双、副、对、类 (3)不定量:些、点 (4)度量衡:丈、尺、里、亩 动量(表示动作行为的单位)次、回、下、趟、遍、阵、场、遭、焉 动量词也可以借用跟动作有关的事物的名词。如:画一笔、切一刀、工作一星期、学习一下午、踢一脚、送一车 说明:在现代汉语中,数词本身只表示抽象的数的概念,在计算事物或动作的数量时,数词的后面必须加上量词。数词跟量词连用就是数量词。 6、代词:具有指示、代替作用的词叫代词。代词可分为人称代词、指示代词、疑问代词。 ⑴人称代词:代替人或事物的名称的代词。

法语基础语法梳理

各类常考代词 1.重度人称代词 形式:moi, toi, lui, elle, soi, nous, vous, eux, elles 用法: 单独使用;toi ! nous ! c’est+重读人称;c’est lui. 同位语,表强调;eux, ils sont Chinois. 介词+重读人称avec, sans, chez, de, à, pour, contre... 注意:on, chacun 作主语/il faut 时用soi. Il faut avoir confiance en soi. 2.直宾代词 代替确指的直接宾语(直接及物动词+直宾) 形式:me, te, le, la, nous, vous, les 直宾放在相关动词前: Il a acheté une revenue. Il l’a perdu e. 直宾前置配合 Je viens de prendre ces pilules.----je viens de les prendre. 不缩合 J’ai pris ces pilules.---- je les ai pris es. 否定放在变为动词两边: Je prends ces pilules.----je ne les prends pas ces pilules. Je vais prendre ces pilules.----je ne vais pas les prendre. Je viens de prendre ces pilules.----je ne viens pas de les prendre. J’ai pris ces pilules.----je ne les ai pas prises. Tu m’as dit la nouvelle. Tu me l’as dite. Tu ne me l’as pas dite. 3.间宾代词 代替间接宾语“à+qn” 形式:me, te, lui, nous, vous, leur 注意1:se+动词+à+qn S’intéresser à qn : je m’intéresse à toi. S’opposer à qn : nous nous opposons à toi. 注意2: Penser à qn : je pense à toi(tu me manques 程度更深)vous m’avait manqué我想死你们了 Songer à qn

法语基础语法大全

法语共有6大语式: 直陈式(l'indicatif ) 命令式(l'impératif) 条件式(le conditionnel ) 虚拟式(le subjonctif) 不定式(l'infinitif) 分词式(le participe) 其中直陈式(l'indicatif )有以下时态: 直陈式(l'indicatif )主要时态: 直陈式现在时(Indicatif Présent) 直陈式复合过去时(Indicatif Passé Composé) 直陈式未完成过去时(Indicatif Imparfait) 直陈式愈过去时(Indicatif Plus-que-parfait) 直陈式简单过去时(Indicatif Passé Simple) 直陈式先过去时(Indicatif Passé Antérieur) 直陈式简单将来时(Indicatif Future Simple) 直陈式先将来时(Indicatif Future Antérieur) 直陈式(l'indicatif )次要时态: 直陈式最近将来时(Indicatif Future proche) 直陈式最近过去时(Indicatif Passé récent) 直陈式过去将来时(Indicatif Le futur dans le passé)命令式(l'impératif)有以下几种时态: 命令式现在时(Impératif Présent) 命令式过去时(Impératif Passé)

条件式(le conditionnel )有以下几种时态: 条件式现在时(Conditionnel Présent) 条件式过去时(Conditionnel Passé) 虚拟式(le subjonctif)有以下几种时态: 虚拟式现在时(Subjonctif Présent) 虚拟式过去时(Subjonctif Passé) 虚拟式未完成过去时(Subjonctif Imparfait) 虚拟式愈过去时(Subjonctif Plus-que-parfait) 分词式(le participe)有以下几种时态: 分词式现在时(Participe Présent) 分词式过去时(Participe Passé) 不定式(l'infinitif): 法语中,未经变化的原形动词叫做不定式。 现在时le prés ent de l’indicatif 将来时Le future de l’indicatif 最近将来时Future proche 简单将来时Future simple 先将来时Future antérieur 未完成过去时L’imparfait de l’indicatif 复合过去时Le passé composé de l’indicatif 愈过去时Le plus-que-parfait de l’indicatif 条件式Le conditionnel (présent/passé) 虚拟式Le subjonctif(présent/passé/imparfait/plus-que-parfait) 被动态La forme passive 先过去时Passé antérieur 简单过去时Passésimple 正在进行时Présent progressif 最近过去时Passé récent 1 现在时:描写发生的动作或状态/表达习惯性动作/描述真理 例:j’écris un article Aimer Finir Il a mal à l’estomac J’aime Je finis

考研法语语法大全

秋风清,秋月明,落叶聚还散,寒鸦栖复惊。 GRAMMAIRE I.L E NOMBRE:5、6、8、10+辅音开头的词时,5、6、8、10辅音不发。 (2) II.代词 (2) III.动词 (3) 3.1 Le temps et La conjugaison 3 3.2 不定式(l’infinitif) 6 IV.形容词: (6) V.副词: (7) VI.冠词 (7) 6.1 冠词省略7 6.2 缩合冠词:du:=de+le;des:=de+les;au:=à+le;aux:=à+les 7 6.3 部分冠词(l’article partitif):de+le,la,les→ du, de la, de l’,des7 6.4 de代des:7 VII.介词 (8) VIII.构词法(LA FORMATION DES MOTS FRAN?AIS) (8) IX.句子 (9) 9.1 连词comme:9 9.2 关系从句:限定性,非限定性,关系代词不能省略9 9.3 le discours indirect:在主从复合句中作补语,起宾语作用9 9.4 强调表达方法9 9.5 时间表示法 10 9.6 疑问句构成 10 9.7 赘词ne:10 9.8 单部句(La proposition à terme unique) 10 9.9 Que代替其他连词11 9.10 特殊倒装11 9.11 否定la négation conjointe/disjointe 11 9.12 文学中常有的省略动词用法11 9.13 L’accord du prédicat avec son sujet11 X. LES E XPRESSIONS (12) 10.1 国家12 10.2 否定短语12 10.3 诗法(Versification) 12 10.4 比较la comparaison 13 10.5 假设,条件L’Hypothèse, la condition14 10.6 目的le but 14 10.7 对立L’Opposition15 10.8 原因la cause 15 10.9 后果la conséquence 15 10.10 让步16 10.11 书信格式 16

vhdl基本语法(简略共34页)

VHDL硬件描述语言 1.1 VHDL概述 1.1.1 VHDL的特点 VHDL语言作为一种标准的硬件描述语言,具有结构严谨、描述能力强的特点,由于 VHDL语言来源于C、Fortran等计算机高级语言,在VHDL语言中保留了部分高级语言的原 语句,如if语句、子程序和函数等,便于阅读和应用。具体特点如下: 1. 支持从系统级到门级电路的描述,既支持自底向上(bottom-up)的设计也支持从顶向下 (top-down)的设计,同时也支持结构、行为和数据流三种形式的混合描述。 2. VHDL的设计单元的基本组成部分是实体(entity)和结构体(architecture),实体包含设 计系统单元的输入和输出端口信息,结构体描述设计单元的组成和行为,便于各模块之间数 据传送。利用单元(componet)、块(block)、过程(procure)和函数(function)等语句, 用结构化层次化的描述方法,使复杂电路的设计更加简便。采用包的概念,便于标准设计文 档资料的保存和广泛使用。 3. VHDL语言有常数、信号和变量三种数据对象,每一个数据对象都要指定数据类型,VHDL 的数据类型丰富,有数值数据类型和逻辑数据类型,有位型和位向量型。既支持预定义的数 据类型,又支持自定义的数据类型,其定义的数据类型具有明确的物理意义,VHDL是强类 型语言。 4. 数字系统有组合电路和时序电路,时序电路又分为同步和异步,电路的动作行为有并行 和串行动作,VHDL语言常用语句分为并行语句和顺序语句,完全能够描述复杂的电路结构 和行为状态。 1.1.2 VHDL语言的基本结构 VHDL语言是数字电路的硬件描述语言,在语句结构上吸取了Fortran和C等计算机高级 语言的语句,如IF语句、循环语句、函数和子程序等,只要具备高级语言的编程技能和数字 逻辑电路的设计基础,就可以在较短的时间内学会VHDL语言。但是VHDL毕竟是一种描述 数字电路的工业标准语言,该种语言的标识符号、数据类型、数据对象以及描述各种电路的 语句形式和程序结构等方面具有特殊的规定,如果一开始就介绍它的语法规定,会使初学者 感到枯燥无味,不得要领。较好的办法是选取几个具有代表性的VHDL程序实例,先介绍整 体的程序结构,再逐步介绍程序中的语法概念。 一个VHDL语言的设计程序描述的是一个电路单元,这个电路单元可以是一个门电路, 或者是一个计数器,也可以是一个CPU。一般情况下,一个完整的VHDL语言程序至少要包含程序包、实体和结构体三个部分。实体给出电路单元的外部输入输出接口信号和引脚信 息,结构体给出了电路单元的内部结构和信号的行为特点, 程序包定义在设计结构体和实体 中将用到的常数、数据类型、子程序和设计好的电路单元等。 一位全加器的逻辑表达式是: S=A⊕B⊕Ci Co=AB+ACi+BCi 全加器的VHDL程序的文件名称是fulladder.VHD,其中VHD是VHDL程序的文件扩展名, 程序如下: LIBRARY IEEE; --IEEE标准库 USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fulladder IS -- fulladder是实体名称 PORT( A, B, Ci : IN STD_LOGIC; --定义输入/输出信号 Co, S : OUT STD_LOGIC ); END fulladder; ARCHITECTURE addstr OF fulladder IS --addstr是结构体名 BEGIN

汉语语法基本知识

汉语语法基本知识 一、什么是语法 语法是语言组合的规律和法则。汉语语法分析可以按由小到大分为五级单位,即语素(字)、词、短语、句子、句群。 二、为什么要学习语法 为了掌握语言的组合规律、规则,提高理解语言的、运用语言的能力。 第一节、词类 一、实词和虚词 词是由语素(字)构成的。词按语法功能和语法意义可分为实词和虚词。 实词是有实在意义的词,它可分为:名词、动词、形容词、数词、量词、代词等六类。 虚词是没有实在意义的词,它可为副词、介词、连词、助词、叹词、拟声词等六类。 二、名词 名词是表示人或事物名称的词。 1、普通名词:牛、人、学生、云、飞机、菜 2、专有名词:中国、黄河、泰山、毛泽东 3、抽象名词:精神、文化、人生、思想 4、时间名词:现在、去年、明天、星期一 5、方位名词:上、前、东、夏天、以上、之南、之东、一旁、底下、跟前、当中、里外、左右、上下 三、动词 动词是表示动作、行为、存在、变化、心理活动等意义的词。 1、表示动作行为:看、听、笑、唱、跳、飞、劳动、研究、认识、安慰、团结、休息 2、表示心理活动:爱、恨、怕、想、希望、喜欢、回忆、思考、理解、厌恶 3、表示发展变化:增加、扩大、提高、降低 4、表示存在、出现、消失:存在、出现、消失、死亡、停、丢 5、表示使令:叫、让、派、请、使、要求、命令、禁止、 6、表示可能、意愿--能愿动词:能、能够、会、可以、可能、应该、应当、必须、要、愿意、需要、肯、敢、情愿 7、表示动作趋向--趋向动词:上、下、来去、进、出、过、起来、回去 8、表示判断--判断词:是 四、形容词 形容词是表示人、事物的形状、性质或者动作、行为、发展、变化状态的词。 1、表示形状:大、小、圆、粗、滑、平、高、低、宽、窄、肥、胖、美、丑、温柔、平缓、笔直

法语基础语法

法语语法基本概念 A 词类 A名词→普通专有(性数配合及复合名词) 具体抽象..... B冠词→定冠词不定冠词, 部分冠词缩合冠词 C代词→人称代词( 主语宾语重读无人称中性副代词y en 及双宾代词位置) 主有指示疑问关系泛指adj形容词修饰泛指代词中间加de即:泛指代词de +adj (无配合) quoi de + adj (无配合) 什么样的东西 de quoi +V (不定式) 做什么的东西 D形容词→品质adj→复合adj 颜色adj 限制adj→主有指示疑问感叹泛指数字( 形容词的性数配合,位置以及限制adj在名词前) E动词→一二三组人称单复 时态语态(主动被动) 完成体 语式→人称语式→直陈条件虚拟命令 →无人称语式→不定式分词式 动词种类 实意动词:人称动词→普通动词→及物动词(直接间接式) 不及物 系动词 →代动词 无称动词(绝对,相对) 助动词: être avoir 半助动词: F副词变化来源介词连词数词 Adv一般位于动词后 B 句类 结构:简单句→主谓(宾) →主系表 复合句→平列句 →并列句(et que 的重复) →主从复合句(连接关系疑问感叹不定式分 词式) 类型:陈述句(直接间接)命令句 疑问句(一般特殊)感叹句 时态语态 时态: 直陈式→直陈现在时(代动词及其被动态) 现在进行时(en train de + V) 过去进行时 复合过去时(代动词及其被动态直宾提前配合) 未完成时态(代动词及其被动态) 愈过去时态(代动词及其被动态直宾提前配合) 最近将来时(代动词及其被动态) 过去最近将来时(代动词及其被动态) 最近过去时(代动词及其被动态) 过去最近过去时(代动词及其被动态) 简单过去时(代动词及其被动态) 先过去时态(代动词及其被动态直宾提前配合) 简单将来时(代动词及其被动态) 先将来时态(代动词及其被动态直宾提前配合) 超复合过去时态(代动词及其被动态直宾提前配合) 过去将来时(代动词及其被动态) 过去将来完成时(代动词及其被动态直宾提前配合) 条件时→现在时(代动词及其被动态) →过去时(代动词及其被动态直宾提前配合) 命令式→现在时(代动词及其被动态) →过去时(代动词及其被动态直宾提前配合) 虚拟式→现在时(代动词及其被动态) →过去时(代动词及其被动态直宾提前配合) 不定式→现在时(代动词及其被动态) →过去时(代动词及其被动态直宾提前配合) 分词式→现在时(代动词及其被动态) →过去时(代动词及其被动态直宾提前配合) 现在分词过去分词复合过去分词及其被动态 语态:主动被动 被动语态: être(各种时态变位)+ 过去分词(配合)+ par de(情感) 不定式的被动: V(各种时态变位)+ être(原型)+ 过去分词(配合) (注):被动语态的时态变化体现在être的变化,且 adv位于助动词与分词之间 形式:肯陈否陈肯疑否疑 法语基础语法 grammaire nf语法 grammatical,e,aux adj grammaticien / enne n syntaxe nf 句法(结构) 第一册 1课 <1> 主语人称代词------只做主语 je tu il elle nous vous ils elles (注) vous--您(们),你们 阴阳共有用ils

英语基础语法知识

英语句子成分(8种) 主语、谓语、宾语、定语、状语、表语、宾语补足语、同位语 1、主语是句子主要说明的人或事物,一般由名词、代词、动名词充当。 En glish is a foreig n Ian guage. I like lear ning En glish. Lear ning En glish is in teresti ng. 2、谓语说明主语的动作或状态,回答“做(什么)”。主要由动词担任。 I lear n En glish every day. 3、表语在系动词之后,说明主语的身份或特征,回答是“什么”或者“怎么样”。通常 由名词、代词或形容词担任。 女口:My name is Ping ping . I am happy. The book is mine. 连系动词分类: (1)状态系动词:用来表示主语性质或状态,只有be 一词。 He is a teacher. He is ill. (2)感官系动词:look,feel, smell, sou nd, taste Your mother looks young. This kind of cloth feels soft. The flower smells good. This song sounds great.. The soup tasted delicious. (3)表像系动词:seem, look 看起来好像 He looks tired. He seems (to be) very sad. (4)持续系动词:表示主语继续或保持一种状况,主要有keep, stay keep 和stay义为“保持”,remain 义为“仍然是,依然是” keep/stay healthy (5)变化系动词:变得become, turn, get, fall, go ,grow 等. become /get an gry, famous, fat, ill, old, strong(人) become/ get cold ,dark, cloudy (天气) go 主要指一种由强到弱或由好到坏的变化(可用于人或事物) go bad, hun gry, wrong Her face tur ned red/ gree n. fall ill/ sile nt grow impatie nt 6)终止系动词表示主语已终止动作,主要有prove, turn out,表达"证实"之意. The theory proved (to be ) wrong. His plan turned out (to be ) successful (turn out 表终止性结果) 4、宾语表示及物动词的对象或结果,回答做的是“什么”。 有些及物动词带有两个宾语,一个指物,一个指人。指物的叫直接宾语,指人的 叫间接宾语。间接宾语一般放在直接宾语的前面。如:He wrote mea letter . 有时可把介词to或for加在间接宾语前构成短语,放在直接宾语后面,来强调间 接宾语。如:He wrote a letter to me . _____ 5、宾语补足语用来说明宾语怎么样或干什么,通常由形容词或动词充当。如: They usually keep their classroom clea n. / We call him Jack.

法语基础语法总结

I主语人称代词 1.1.1.词形 如同英语中人称代词主格,用作主语。 1)1)1)tu 用作家人、好友间;vous(您)礼貌、尊重。 2)2)2)第三人称也可用作(它,它们) II冠词 * 抽象名词前,一般用定冠词Je n’aime pas le café. * 名词作表语,表身份、职业、国籍时,可省冠词Je suis étudiant. * 定冠词le les前有介词à, de 时,要缩合au(à + le) , aux (à + les) , du ( de + le ) , des ( de + les )。Nous allons au magasin. III名词 1.1.1.阴阳性人与动物的自然性别或固定用法un étudiant/ une étudiante le frère/ la so e ur une valise mon bureau 某些行业无阴性,但可用于女性un professeur 2.2.2.复数词尾加s 但不发音;un stylo/des stylos, une chaise / des chaises;-s –x –z 单复数相同un cours / des cours;-eau, -au, -eu结尾复数加x 但不发音un tableau / des tableaux;-al结尾复数改为-aux un journal/ des journaux 3.3.3.种类月份、星期、语种在法语中不属于专用名词,词首不用大写décembre, fran?ais IV动词变位 法语按动词变位分为三组:

第一组-er结尾;第二组-ir结尾;第三组-re, -oir, -ir结尾 直陈式现在时(英语中的现在进行时和一般现在时)中,第一组动词变位如下: parler je parle vous parlez tu parles nous parlons il parle ils parlent V一般疑问句 1.陈述句型,语调上升Vous parlez anglais ? 2.主谓倒置,中加- Etes-vous médecins ? 第三人称单数不以t, d结尾时A-t-il un manuel de fran?ais ? 3.疑问词放前Est-ce qu’elle a un manuel de fran?ais ? GRAMMAIRE I主有形容词 3.3.1.词形 mon amie。 4.4.2.用法 1)1)1)主有形容词的性、数与所限名词的性数一致,与所有者性别无关。son livre 2)2)2)所有者为复数,所有物是每人一件时,一般用单数主有形容词。 Ouvrez votre livre à la page 20. (Open your books at page 20.) II两个名词间的de

法语语法大全

〖代词相关〗 GRAMMAIRE I 主语人称代词 1. 1.1.词形 2. 如同英语中人称代词主格,用作主语。 1) 1)1)tu 用作家人、好友间; vous (您)礼貌、尊重。 2) 2)2)第三人称也可用作(它,它们) II 主有形容词 3. 3.1.词形 mon amie 。 4. 4.2.用法 1) 1)1)主有形容词的性、数与所限名词的性数一致,与所有者性别无关。son livre 2) 2)2)所有者为复数,所有物是每人一件时,一般用单数主有形容词。 Ouvrez votre livre à la page 20. (Open your books at page 20.) III 重读人称代词 1. 1.1.词形 1) 1)1)主语的同位语:A 单独用作同位语: Lui, il conna ?t le peintre de ce tableau.

B 与另一人称代词或名词构成复合同位语 Toi et moi , nous allons au cinéma à vélo. 2) 用作介词的补语: Je travaille avec elle chez moi. 3) 用于c’est后,或无谓语的省略句中 Qui est Li Ming ? ——C’est lui. IV直接宾语人称代词 me, m’ (me)nous(us) te, t’(you)vous(you) le, l’(him/it)les(them) la, l’(her/it) 相当于英语中的宾格,但放在有关动词前: Ce texte n’est pas facile, le comprenez-vous ? —— Non, je ne le comprends pas. 肯定命令式中,放在动词后面,用连字号相连,有me的改为moi: Choisissez-les si vous voulez bien. Attendez-moi à la station, s’il vous pla?t. V泛指代词on 可代替口语中所有人称代词,相当于英语中的one , someone, they, people,动词谓语仅用第三人称单数Ecoute, on frappe à la porte. (Listen, someone is knocking at the door.) III国家、城市名词前介词的用法 1.阴性国名或国名以元音开头,用en。en Chine, en Iran 2.阳性国名用à。Au Japon 3.城市前用à,从……来用de 4.de+ 阴性名词则无冠词,阳性变du。 VI间接宾语人称代词 1.1.1.词形 Ma soeur m’écrit quelquefois. Je vais lui demander un verre de lait. Ne leur dites pas cette nouvelle. 肯定命令式中,放在动词后,用连词号连接me要改成重读形式moi Passe-moi le dictionnaire. VII主有代词:

相关主题
文本预览
相关文档 最新文档