当前位置:文档之家› 交通灯控制逻辑电路设计(课程设计)

交通灯控制逻辑电路设计(课程设计)

交通灯控制逻辑电路设计(课程设计)
交通灯控制逻辑电路设计(课程设计)

电工学(少学时)

课程设计

中国人民公安大学

交通灯控制逻辑电路设计

设计要求和技术指标

1、技术指标:设计一个十字路口的交通灯控制电路,每条道路上

各配有一组红、黄、绿交通信号灯,其中红灯亮,表示该道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已过停车线的车辆继续通行;绿灯表示该道路允许通行。该电路自动控制十字路口两组红、黄、绿交通灯的状态转换,实现十字路口自动化。

2.、设计任务与要求

一.基本功能

1.设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒;

2.要求黄灯先亮5秒,才能变换运行车道;

3.黄灯亮时,要求每秒钟闪亮一次。

二.基本扩展功能

1.信号灯的倒计时

2.进行数字显示

三.特色扩展功能

1.定时控制信号周期。

实际应用:我们灯控路口的每天都存在着低峰时段(如夜间),不需要设置信号灯的周期,以便节省能源。

我们设计在一个周期的某一时间段内,将交通信号灯自动关闭。(第8个周期运行,第1-7个周期停止运行)

实现手动对关闭周期的时间控制。(周期在20和40之间通过开关控制)

2.定时控制信号周期,实现在一个时段内的不对称周期。

实际应用:我们灯控路口的每天都存在着某时段(如两个车道中的一个车道需要长周期),便于交通。

我们设计在一个周期的某一时间段内,将交通信号灯变为不对称的信号(A车道为70秒,B车道为30秒)。暂时设置为(第8个的半个周期(30秒)(自动设置为半个周期)运行,加第7个的上半周期(70秒),形成一个不对称周期。第7个下半周期和1-6个周期正常运行)

目录

一、交通灯的组成 (4)

二、单元电路的设计 (7)

1、秒脉冲发生器 (7)

2、定时器 (8)

3、控制信号发发生器 (10)

4.控制绿灯显示器 (13)

5.控制器 (14)

6、附加功能(1) (17)

7、附加功能(2) (18)

三、体会总结 (14)

四、鸣谢 (16)

五、参考文献 (17)

一.交通灯的组成

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中:

TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。

TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,T Y=0。

ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

图12、1 交通灯控制系统的原理框图

两方向车道的交通灯的运行状态共有4种,如图1-2所示

一般十字路口的交通灯控制系统的工作过程如下:

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。

(2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY时,控制器发出状态转换信号ST,转到下一工作状态。

(3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。

(4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。

交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示。

控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定:

AG=1:甲车道绿灯亮;

BG=1:乙车道绿灯亮;

AY=1:甲车道黄灯亮;

BY=1:乙车道黄灯亮;

A R=1:甲车道红灯亮;

BR=1:乙车道红灯亮;(A代表甲车道,B代表乙车道,G为绿灯,Y为黄灯,R为红灯)

由此得到交通灯的ASM图,如图12-2所示。设控制器的初始状态为S0(用状态框表示S0),当S0的持续时间小于25秒时,TL=0 (用判断框表示TL),控制器保持S0不变。只有当S0的持续时间等于25秒时,TL=1,控制器发出状态转换信号ST(用条件输出框表示ST),并转换到下一个工作状态。依此类推可以弄懂ASM图所表达的含义。

12-2.画出交通灯控制器的ASM

(Algorithmic State Machine,算法状态机)

二.单元电路的设计(1)秒脉冲发生器

脉冲信号发生器直接由集成元件。

(2)定时器

定时器由与系统秒脉冲(由上面时钟脉冲产生器提供)同步的计数器构成,要求计数器在状态信号ST作用下,首先清零,然后在时钟脉冲上升沿作用下,计数器从零开始进行增1计数,向控制器提供模5的定时信号TY和模25的定时信号TL。

计数器选用集成电路两个74LS190D进行设计。74LS190是10位二进制同步计数器,它具有清零、置数的功能。74LS190D的外引线排列图和时序波形图如图12、4所示,其功能表如表12、2所示。190 的预置是异步的。当置入控制端(LD )为低电平时,不管时钟CP 的状态如何,输出端(Q0~Q3)即可预置成与数据输入端(D0~D3)相一致的状态。190 的计数是同步的,靠CP 加在4 个触发器上而实现。当计数控制端(CT )为低电平时,在CP 上升沿作用下Q0~Q3同时变化,从而消除了异步计数器中出现的计数尖峰。当计数方式控制(U /D)为低电平时进行加计数,当计数方式控制U /D)为高电平时进行减计数。只有在CP 为高电平时CT 和U /D 才可以跳变。

190 有超前进位功能。当计数溢出时,进位/错位输出端

(CO/BO)输出一个低电平脉冲,其宽度为CP 脉冲周期的高电平脉冲;行波时钟输出端(RC )输出一个宽度等于CP 低电平部分的低电平脉冲。CTp、CTT是计图12、2 交通灯的ASM图数控制端,CO是进位输出端,D0~D3是并行数据输入端,Q0~Q 3是数据输出端。由两片74LS163级联组成的定时器电路如图12、4所示。

74LS190D的外引线排列图

74LS190时序波形图

表12、2 74LS190D功能表

图为计时器的构成(两个74ls190D)

通过对个位和十位的或门的0,输入给load,实现初始状态的置数,之后将u/d 接高电平,从而实现倒数计时。之后由30倒数至0时,或门得0,又实现置数功能。如此循环下去,实现计数功能。

(2)控制信号发生装置

1.TL信号发生原理

2.TY信号发生原理

TY信号即为00的置位信号,即只需将load的接口引出即可。

(3)控制绿灯显示器

通过主控定时器控制绿灯显示器,通过对绿灯计时器25位置产生信号与主计时器5位置产生的信号及时冲脉冲进行与运算,输出信号来控制输出信号。对时冲脉冲进行操作。从而实现绿灯显示在红灯运行25秒后,从而实现使其脉冲信号中断5秒,从而实现准确计时。

(4)控制器

控制器是交通管理的核心,它应该能够按照交通管理规则控制信号灯工作状态的转换。从ASM图可以列出控制器的状态转换表,如表12、3所示。选用两个JK触发器jk-ff接入或门电路做为时序寄存器产生4种状态,J、K端由高电平控制。使用其计数功能。

JK-FF外接引线表

表12、3 控制器状态转换表

根据表12、3、可以推出状态方程和转换信号方程,其方法是:将Q、Q1和AG…为1的项所对应的输人或状态转换条件变量相与,其中"1"用原变量表示,"0"用反变量表示,然后将各与项相或,即可得到下面的方程:

1

1111111QQ BY Q Q BG Q Q Q Q BR Q Q AY Q Q AG QQ Q Q AR ==+===+=

根据以上方程,对其化简得AR=Q 、BR Q =,实现每个D 触发器的输入函数,将触发器的现态值(Q1、Q0)加到D 触发器输入端作为控制信号.即可实现控制器的功能。控制器的逻辑图如图12、5所示。

黄灯闪烁可由时钟脉冲来解决,当信号为1的时候,采用或门电路,从而使信号灯失去作用,实现锁定。当信号为0的时候将会解除锁定。同时信号的1、0变换,使黄灯实现闪烁。

图 12、5控制器逻辑图

(5)附加功能(1)

信号周期定时关闭的实现

当信号周期传达到给计时器时,计时器进行倒计时,当倒计时到8时,输出信号QA、QB、QC的值为1、1、1,经过与门之后输出KL=1,再与时冲脉冲经过与门后,将信号灯锁住。其原理图如下。

图为信号周期发生图

图为输出信号发生装置

图为对交通灯的锁定示意图

(6)附加功能(2)

定时控制信号周期,实现在一个时段内的不对称周期

通过jk触发器的输出端Q进行计时,输入到下图所示的计数器,当QA、QB、QC同为1时,计数器显示为7从而通过或非门电路输出信号1.如下图所示

通过信号1来控制两个74L190D中的C端的电位,实现对周期的同时改变。当信号为0时则不发生作用,周期不变。如下图所示

三.体会总结

通过这次课程设计,加强了我们小组的思考和解决问题的能力。电路原理和连接,和芯片上的选择。巩固数字逻辑电路的理论知识,实现第一种附加功能方案用了36个芯片,实现第二种附加功能方案用了29个芯片。对190计数器更加了解,懂得它的功能与其它芯片替换等.使D触发器从书本上的理论知识联系到实际,让我更加了解它的功能. 更重要的是如何将逻辑电路灵活运用于实际生活。

我觉得做课程设计同时也是对课本知识的巩固和加强,平时看课本时,有时问题老是弄不懂,做完课程设计,那些问题就迎刃而解了。而且还可以记住很多东西。比如一些触发器的功能,平时看课本,这次看了,下次就忘了,做了课

程设计后就完全了解其作用。

虽然我们小组的交稿时间较晚,但是我们独立的实现了扩展功能。并在别人的基础上实现了基本功能的改进。从刚开始什么都不懂,到最后请教别人做到了基本功能,然后又改进别人的基本功能,进而自己独立做出扩展功能。

突然觉得得到一个好的分数不是一件重要的事情。真正重要的是在这次设计中学到了一些东西。不仅仅是电路上的知识,更是一种科研能力、独立思考的能力、对知识的热爱。正如老师您所说,若干年之后,可能所学的知识都忘掉了,但是这件事永存在我们的记忆中,再次回忆起当初一起讨论、半夜两点醒来画下逻辑电路…

四.鸣谢

本次关于简易交通灯的设计与制作是在交管一区王泓然的电路

上做出的改进,经过同学的指导下,和同组内其他成员的共同交流下才得以顺利完成。经过本次设计把我们组把在学习数电以来所学的理论知识转化为实际应用,既锻炼了我们的实际操作能力,又使理论知识得以加强和升华,激发了创新意识

五.参考文献

1.《电工学课件》吴昌明

2.《电工学(少学时)》高等教育出版社3.《555集成电路实用电路集》高等教育出版社

4 《TTL集成电路应用》机械工业出版社

5 《CMOS集成电路》国防工业出版社

微机原理课程设计——交通灯控制系统

南通大学电子信息学院 微机原理课程设计 报告书 课题名交通灯控制系统 班级 _______ 学号 __________ 姓名 ____ 指导教师 ______ 日期 _________

目录 1 设计目的 (1) 2 设计内容 (1) 3 设计要求 (1) 4 设计原理与硬件电路 (2) 5 程序流程图 (4) 6 程序代码 (4) 7 程序及硬件系统调试情况 (8) 8 设计总结与体会 (9) 9 参考文献 (9)

1 设计目的 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。交通灯能保证行人过马路的安全,控制交通状况等优点受到人们的欢迎,在很多场合得到了广泛的应用。 交通灯是采用计算机通过编写汇编语言程序控制的。红灯停,绿灯行的交通规则。广泛用于十字路口,车站, 码头等公共场所,成为人们出行生活中不可少的必需品,由于计算机技术的成熟与广泛应用,使得交通灯的功能多样化,远远超过老式交通灯, 交通灯的数字化给人们生产生活带来了极大的方便,而且大大地扩展了交通灯的功能。诸如闪烁警示、鸣笛警示,时间程序自动控制、倒计时显示,所有这些,都是以计算机为基础的。还可以根据主、次干道的交通状况的不同任意设置各自的不同的通行时间。或者给红绿色盲声音警示的人性化设计。现在的交通灯系统很多都增加了智能控制环节,比如对闯红灯的车辆进行拍照。当某方向红灯亮时,此时相应的传感器开始工作,当有车辆通过时,照相机就把车辆拍下。 要将交通灯系统产品化,应该根据客户不同的需求进行不同的设计,应该在程序中增加一些可以人为改变的参数,以便客户根据不同的需要随时调节交通灯。因此,研究交通灯及扩大其应用,有着非常现实的意义。 2 设计内容 交通灯控制系统 利用8253定时器、8255等接口,设计一电路,模拟十字路口交通灯控制。要求能实现自动控制和手动应急控制。 3 设计要求 在Proteus环境下,结合课程设计题目,设计硬件原理图,搭建硬件电路 软件设计

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

数字系统课程设计-交通灯控制器实验报告

交通灯控制器 ——数字系统设计报告 姓名: 学号:

一.实验目的 1.基本掌握自顶向下的电子系统设计方法 2.学会使用PLD和硬件描述语言设计数字电路,掌握 Quartus II等开发工具的使用方法 3.培养学生自主学习、正确分析和解决问题的能力 二.设计要求 我所选择的课题是用Verilog HDL实现交通灯控制器。该课题的具体内容及要求如下: 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄灯亮则给行驶中的车辆有时间行驶到禁行线之外。主干道和乡村公路都安装了传感器,检测车辆通行情况,用于主干道的优先权控制。 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通行,让乡村公路通行。主干道最短通车时间为25s 。 (3)当乡村公路和主干道都有车时,按主干道通车25s,乡村公路通车16s交替进行。 (4)不论主干道情况如何,乡村公路通车最长时间为16s。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮5s时

间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极管作交通灯。 (7)要求显示时间,倒计时。 (C表示乡村道路是否有车到来,1表示有,0表示无;SET用来控制系统的开始及停止;RST是复位信号,高电平有效,当RST=1时,恢复到初始设置;CLK是外加时钟信号;MR、MY、MG分别表示主干道的红灯、黄灯和绿灯;CR、CY、CG分别表示乡村道路的红灯、黄灯和绿灯,1表示亮,0表示灭) 系统流程图如下:(MGCR:主干道绿灯,乡村道路红灯;MYCR:主干道黄灯,乡村道路红灯;MRCG:主干道红灯,乡村道路绿灯;MRCY:主干道红灯,乡村道路黄灯;T0=1表示主干道最短通车时间到,T1=1表示5秒黄灯时间到,T2=1表示乡村道路最长通车时间到。)

数字电路课程设计交通灯控制器

数字电路课程设计交通灯控制器

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。

2.分析系统的状态变化,列出状态转换表:(1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。(2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。(4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 五单元电路的设计 1)秒脉冲产生电路 经过555芯片按一定的线路接上不同的电阻和电容就可产生周期不

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

EDA交通灯控制器课程设计报告

交通灯控制器课程设计 该交通信号控制器控制十字路甲、乙两条道路的红、黄和绿三色灯,指挥车辆和行人 安全通行。 功能要求如下: 1.只有在小路上发现汽车时,高速公路上的交通灯才可能变成红灯。 2.当汽车行驶在小路上时,小路的交通灯保持为绿灯,但不能超过给定的时间。(20s) 3.高速公路灯转为绿色后,即使小路上有汽车出现,而高速公路上并无汽车,也将在给定的时间内 保持绿灯。(60s)。 设计如下: ——1hz分频器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity fen_pin1 is port(clk100hz:in std_logic; clk1hz:out std_logic);

end fen_pin1; architecture bhv of fen_pin1 is signal qan:std_logic_vector(3 downto 0); signal qbn:std_logic_vector(3 downto 0); signal cin:std_logic; begin process(clk100hz) begin if(clk100hz'event and clk100hz='1')then if qan="1001"then qan<="0000";cin<='1'; else qan<=qan+1;cin<='0'; end if; end if; end process; process(clk100hz,cin) begin if(clk100hz'event and clk100hz='1')then if cin='1' then if qbn="1001" then qbn<="0000"; else qbn<=qbn+1; end if ; end if ; end if ; end process; process(qan,qbn) begin if (qan="1001"and qbn="1001")then clk1hz<='1'; else clk1hz<='0'; end if; end process; end bhv; ——2hz分频器 library ieee; use ieee.std_logic_1164.all; entity fen_pin2 is port (clk100hz:in std_logic; clk2hz:out std_logic); end fen_pin2; architecture bhv of fen_pin2 is begin process(clk100hz) variable cnt:integer range 0 to 24; variable tmp:std_logic; begin

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

电子技术课程设计报告交通灯控制电路设计

电子技术课程设计报告——交通灯控制电路设计 上海大学机自学院自动化系

电气工程专业 : 学号: 指导老师:徐美华 目录 目录2 一、主要用途:3 二、设计任务及要求:3 三、设计思路步骤及仿真调试4 1.设计分析4 2.交通灯模块:5 3.脉冲信号模块5 4.减法计数器及数码显示管模块6

5.控制模块7 6.对所使用芯片进行介绍:8 7.交通灯工作图12 四、实习小结15 一、主要用途: 有效管制交通、疏导交通流量、提高道路通行能力、有利于减少交通事故。 二、设计任务及要求: 设计一个主干道和支干道十字路口的交通灯控制电路,其要求如下: 1.一般情况下,保持主干道畅通,主干道路灯亮、支干道红

灯亮,并且主干灯亮的时间不少于60 S; 2.当主干道绿灯亮超过60 S,且支干道有车时,主干道红灯 亮,支干道绿灯亮,但支干道绿灯亮的时间不得超过30S; 3.每次主干道或支干道绿灯亮变红灯时,黄灯先亮5S。 三、设计思路步骤及仿真调试 1.设计分析 计数器能进行60进制、30进制以及5进制的减数计数,在计数器与译码器及与非门的工作下实现交通灯信号灯的切换。有以下四个状态: a.主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,支干道禁止车辆通行。当主干道绿灯亮够60秒后,控制器发出状态转换信号,系统进入下一个状态。 b.主干道黄灯亮,支干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够5秒后,控制器发出状态转换信号,系统进入下一个状态。 c.主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够30秒后,控制器发出状态转换信号,系统进入下一个状态。

交通灯控制器课程设计说明书

交通灯控制器课程设计说明书课程设计说明书 学生姓名:____________ 学号:________________ 学院:_______________________________________ 专业:_______________________________________ 题目:_____________ 交通灯控制器_____________ 指导教师:职称:

2010年1月15日 目录 1、实验任务 (3) 2、实验目的 (3) 3、设计方案 (3) 4、参考电路设计 (4) 5、实验仪器设备 (9) 6、实验心 得 (10)

一.实验任务 设计一个交通灯控制器,具体要求如下: 1、以红,黄,绿三种颜色的发光管作为交通灯。绿灯亮表示可以通行, 红灯 亮表示禁止通行.黄灯亮表示未通过的车辆禁止通行. 2、每次放行时间为30秒,红转绿或绿转红时,需黄灯亮5秒作为过度。 二.实验目的 1、掌握电子电路的一般设计方法和设计流程。 2、学习使用PROTEL软件绘制电路原理图和印刷版图。] 3、掌握应用EWB对设计的电路进行仿真,通过仿真结果验证设计的正确 性。 三.设计方案 交通灯控制器参考方案 图1 图1为交通灯控制器的一个参考设计方案。在这一方案中,系统主要由控制器.定时器?脉冲信号发生器.译码器?信号灯组成。 TL.TY为定时器的输出信号,ST为控制器的输出信号。 当车道绿灯亮时,定时器开始记时,当记时到30秒时,TL输出为1,否则,TL=0; 当车道黄灯亮后,定时器开始记时,当记时到5秒时,TY输出为1,否则,TY=0;

ST 为状态转换信号,当定时器数到规定的时间后,由控制器发出状态转 换信号,定时器开始下一个工作状态的定时计数。 控制状态为: 表1 ?状态转换 表 图2画出了控制器的状态转换图,图中TY 和TL 为控制器的输入信号, ST 为控制器的输出信号。 00 .01 . 11. 交通信 号灯 有四个状态, 用SO. 来表 SI. S2 ? S3 示,并且分别 分配 编码状态为

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

单片机课程设计_基于单片机的交通灯控制系统设计说明

目录 1.硬件设计方案............................................... - 3 -1.1总方案设计 (3) 1.2中央处理单元 (4) 1.3红、绿、黄灯显示部分 (4) 1.4时间显示部分 (4) 1.5按键部分 (5) 2.主要电路原理分析和说明 ..................................... - 6 -2.1红、绿、黄灯显示电路.. (6) 2.2时间显示电路 (6) 2.3按键电路 (8) 2.4时钟及复位电路, (9) 其电路原理图如图2.4所示 (9) 2.5完整电路原理图 (9) 2.6单片机相应管脚及功能说明 (12) 3.软件设计流程及描述......................................... - 14 - 3.1程序流程图 (14) 4.调试....................................................... - 16 -(1)硬件调试 (16) (2)软件调试 (16)

5.结束语..................................................... - 17 - 6.参考文献................................................... - 17 - 7.附录....................................................... - 19 - 1.源程序代码 (19) 2.实物图 (22)

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制器的课程设计

交通灯控制器的课程设计

课程设计 课题:交通灯控制器的设 计

一、设计目的: 学习QuartusII的使用方法,熟悉可编程逻辑器 件的使用。通过制作来了解交通灯控制系统,交 通灯控制系统主要是实现城市十字交叉路口红绿 灯的控制。在现代化的大城市中, 十字交叉路口 越来越多,在每个交叉路口都需要使用红绿灯进 行交通指挥和管理,红、黄、绿灯的转换要有一个 准确的时间间隔和转换顺序,这就需要有一个安 全、自动的系统对红、黄、绿灯的转换进行管理, 本系统就是基于此目的而开发的。 二、设计任务: 1.满足如下时序要求: 南北方向红灯亮时,东西方向绿灯亮,反之亦 然。 2.每一方向的红(绿)黄灯共维持30秒。 3.当某一方向绿灯亮时,置显示器为30秒,然 后以每秒减1计数方式工作,直至减到数为3 秒时,红绿灯熄灭,黄灯开始间隙闪耀3秒, 减到为0,红绿灯交换,一次工作循环结束, 进入下一步另一方向的工作循环。 4.红绿黄灯均采用发光二极管。

5.设计由晶振电路产生1Hz标准秒信号的单元电 路。 6.要求对整体电路进行仿真,观察并记录下仿真 波形。 三、设计原理: ●交通灯有四个状态: G1 Y1 R1 G2 Y2 R2 S1. 亮灭灭灭灭亮 S2. 灭闪灭灭灭亮 S3. 灭灭亮亮灭灭 S4. 灭灭亮灭闪灭然后重复状态S1. ●分频器 分频器实现的是将高频时钟信号转换成底频的时钟 信号,用于触发控制器、计数器和扫描显示电路。 该分频器将时钟信号分频成1HZ和4HZ的时钟信 号。 ●控制器 控制器的作用是根据计数器的计数值控制发光二极 管的亮、灭,以及输出倒计时数值给七段数码管的 分位译码电路。此外,当检测到为夜间模式时,手 动控制点亮黄灯的二极管。

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯控制器课程设计报告

中南林业科技大学 课程设计报告 设计名称:交通灯控制器 姓名: 学号: 专业班级: 院(系): 一、课程设计题目:交通灯控制器 时间:2015年6月29日至7月13日 地点: 指导老师: 二、课程设计目的

交通灯控制信号的应用非常广泛。本电路设计一个交通灯控制器,需要达到的目的如下: 一个周期64秒,平均分配,前32秒红灯亮,后32秒绿灯亮。 在红灯亮的期间的后8秒与红灯在一起的黄灯闪烁(注意:红灯同时亮)。为了显示效果明显,设计闪烁频率为1。 在绿灯亮的期间的后8秒与绿灯在一起的黄灯闪烁(注意:绿灯同时亮),为了显示效果明显,设计闪烁频率为1。 在黄灯闪烁期间,数码管同时倒计时显示,在此期间以外,数码管不亮. 三、 课程设计方案 为了完成交通灯控制电路的设计,方案考虑如下: 一个脉冲信号发生器,一个二进制加法计数器,一个十进制减法计数器,红灯与绿灯以及黄灯是否亮是由二进制加法计数器的输出端状态来决定的,因此,设计一个组合逻辑电路,它的输入信号就是二进制加法计数器的输出信号,它的输出就是发光二极管的控制信号,因此,需要一个组合逻辑电路,六个发光二极管(二个红色发光二极管,二个绿色发光二极管,二个黄色发光二极管)电路,一个数码管显示电路。结构图如下: 四、 课程设计原理 脉冲信号发生器由定时器555构成。 二进制加法计数器由七位二进制加法计数器4024构成。 555脉冲 振荡器 4024 计数器 组合逻辑电路 发光二极管电路 193 计数器 4511 驱动器 数码管

十进制减法计数器由74LS193可逆可预置十进制计数器构成。 组合逻辑电路根据其输入输出的逻辑关系后再确定电路芯片。 驱动器选用4511。 从以上讨论可知,需要对所采用的芯片有比较详细的了解。下 面对以上几种芯片的基本知识和基本特性进行介绍。 1、555定时器 555定时器是一块常用的集成电路,电路符号如左图所示,8为电源端VCC,1为公共端GND。所加电源电压范围:4.5V

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

简易交通灯控制逻辑电路设计

电子技术课程设计报告题目:简易交通灯控制逻辑电路设计 学生姓名: 学生学号: 年级: 2014级

专业:自动化 班级:(1)班 指导教师: 机械与电气工程学院制 2017年5月 简易交通灯控制逻辑电路设计 机械与电气工程学院自动化专业 1设计的任务与要求 1.1 课程设计的任务 本系统由单片机系统、数码管显示、交通灯显示系统组成。系统除基本的交通功能外,还具有倒计时。东西、南北两个干道交于一个十字路口,各干道有一组红、绿、黄三色的指示灯。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换。 1.2 课程设计的要求 本次课程设计实现的交通灯是带有额外的功能,预期实现的主要功能如下。 (1)具有时间显示功能,就是数码管倒计时功能; (2)红绿灯具有两种状态,南北直行,东西直行;

(3)具有模式转换功能,切换到不同状态,交通灯通行时间不一样; 程序开始运行先南北段通行、东西段禁止60s,后东西段通行、南北段禁止60s,依此循环。系统分三种工作模式:正常模式、繁忙模式、特殊模式,并且通过三个按钮“正常”、“繁忙”、“特殊”可相互转化。 正常模式:直行时间显示数码管显示60。此时南北段直行通行(绿灯)、东西段禁止(红灯)60s,倒计时到3s时,黄灯亮,提醒人们注意了。然后是东西段通行(绿灯),南北段禁行(红灯),一直循环下去。 繁忙模式:南北段、东西段的通行时间改为30s,其它与正常模式类似。 特殊模式:特殊模式红灯全亮,倒计时20s,到最后3秒黄灯闪3次后并转入正常模式。 2 简易交通灯控制逻辑电路设计方案制定 2.1 简易交通灯控制逻辑电路设计的原理 系统上电或手动复位之后,系统先显示状态灯及LED数码管,将状态码值送显P1口,将要显示的时间值的个位和十位分别送显P0口,在此同时用软件方法计时1秒,到达1s就要将时间值减1,刷新LED数码管。 时间到达一个状态所要全部时间,则要进行下一状态判断及衔接,并装入次状态的相应状态码值以及时间值,当然,还要开启两个外部中断,其一为紧急情况处理中断,一旦信号有效,即K键为低电平时进入中断服务子程序,东西南北路口的保持现有通行状态,再按一下K键,中断结束返回。其二为通行时间调整中断,若按键有效,进入相应的中断子程序,对时间进行调整,可延长或减少某一路段的通行时间,此后再按键则中断结束返回。 2.2 简易交通灯控制逻辑电路设计的技术方案

相关主题
文本预览
相关文档 最新文档