当前位置:文档之家› SYSTEMVIEW简单使用

SYSTEMVIEW简单使用

SYSTEMVIEW简单使用
SYSTEMVIEW简单使用

第一部分SystemView及其操作简介

美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit 教学版,自1.9版开始升为32bit专业版,目前已推出了5.0版。SystemView是在Windows 环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。

1.1 SystemView的基本特点

SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。

1.2 SystemView系统视窗

1.2.1 主菜单功能

进入SystemView后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。

系统视窗

最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。

执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示:

表1-2-1 SystemView5.0各菜单下的工具条及其功能

1.2.2 快捷功能按钮

在主菜单栏下,SystemView为用户提供了16个常用快捷功能按钮,按钮功能如下:

清除系统删图符块切断连线布放连线

复制图符便笺注释终止运行系统运行

系统定时分析窗口进亚系统建亚系统

1.2.3 图符库选择按钮

系统视窗左侧竖排为图符库选择区。图符块(Token)是构造系统的基本单元模块,

相当于系统组成框图中的一个子框图,用户在屏幕上所能看到的仅仅是代表某一数学模型

的图形标志(图符块),图符块的传递特性由该图符块所具有的仿真数学模型决定。创建一个仿真系统的基本操作是,按照需要调出相应的图符块,将图符块之间用带有传输方向的连线连接起来。这样一来,用户进行的系统输入完全是图形操作,不涉及语言编程问题,使用十分方便。进入系统后,在图符库选择区排列着8个图符选择按钮,即:

信源库亚器件库加法器输入/输出

操作库函数库乘法器信宿库

在上述8个按钮中,除双击“加法器”和“乘法器”图符按钮可直接使用外,双击其它按钮后会出现相应的对话框,应进一步设置图符块的操作参数。单击图符库选择区最上边的主库开关按钮main ,将出现选择库开关按钮Option下的用户库(User)、通信库(Comm)、DSP库(DSP)、逻辑库(Logic)、射频模拟库(RF/Analog)和数学库(Matlab)选择按钮,可分别双击选择调用。

1.3 系统窗下的库选择操作

1.3.1 选择设置信源(Source)

创建系统的首要工作就是按照系统设计方案从图符库中调用图符块,作为仿真系统的基本单元模块。可用鼠标左键双击图符库选择区内的选择按钮。现以创建一个PN码信源为例,该图符块的参数为2电平双极性、1V幅度、100Hz码时钟频率,操作步骤如下:(1)双击“信源库”按钮,并再次双击移出的“信源库图符块”,出现源库(Source Library)选择设置对话框,如图1-3-1所示。与SystemView1.8相比,SystemView3.0的库对话框布局有所变化,它将信源库内各个图符块进行分类,通过“Sinusoid/Periodic(正弦/周期)”、“Noise/PN(噪声/PN码)”和“Aperiodic/Ext(非周期/扩展)”3个开关按钮进行分类选择和调用,而不像SystemView1.8那样所有库内图符全部显示在一个窗口内,其它库选择对话框与之类似;

图1-3-1 源库选择设置对话框

(2)单击开关按钮下边框内的“PN Seq”图符块表示选中,再次单击对话框中的参数按钮Parameters ,在出现的参数设置对话框中分别设置:幅度Amplitude=1、直流偏置Offset=0、电平数Level=2;

(3)分别单击参数设置和源库对话框的按钮OK ,从而完成该图符块的设置。

1.3.2 选择设置信宿库(Sink)

当需要对系统中各测试点或某一图符块输出进行观察时,通常应放置一个信宿(Sink)图符块,一般将其设置为“Analysis”属性。Analysis块相当于示波器或频谱仪等仪器的作用,它是最常使用的分析型图符块之一。Analysis块的创建操作如下:

(1)双击系统窗左边图符库选择按钮区内的“信宿”图符按钮,并再次双击移出的“信宿”块,出现信宿定义(Sink Definition)对话框,如图1-3-2所示;

图1-3-2 信宿定义对话框

(2)单击“Analysis”图符块选中;

(3)最后,单击信宿定义对话框内的OK 按钮完成信宿选择。

1.3.3 选择设置操作库(Operator Library)

双击图符库选择区内的“操作库”图符块按钮,并再次双击移出的“操作库”图符块,出现操作库(Operator Library)选择对话框,操作库中的各类图符块可通过6个分类选择开关选用,如图1-3-3所示,库内常用图符块主要包括:延迟Delay块、保持Hold块、采样Sampler块、放大Gain块、线性系统LinearSys块、采样延迟SmplDly块、比较Compare 块和给类门(Xor、And、Nand、Or、Not)块等。设置参数方法同上。

1.3.4 选择设置函数库(Function Library)

双击图符库选择区内的“函数库”图符块按钮,并再次双击移出的“函数库”图符块,出现函数库(Function Library)选择设置对话框,如图1-3-4所示,设置图符块参数的方法与前边类似。

图1-3-4 函数库选择设置对话框

对于上述各库的对话框,如果希望知道库内某图符块的功能,可用鼠标指在某个图符块上,立刻出现一个小文本框,框内以英文提示用户该图符块的功能参数和性质。

1.3.5 选择设置通信库(Communication Library)

在系统窗下,单击图符库选择区内上端的开关按钮Main ,图符库选择区内图符内容将改变,双击其中的图符按钮“Comm”,再次双击移出的“Comm”图符块,出现通信库(Communication Library)选择设置对话框,如图1-3-5所示。通信库中包括通信系统中经常会涉及的BCH、RS、Golay、Vitebi纠错码编码/译码器、不同种类的信道模型、调制解调器、分频器、锁相环、Costas环、误比特率BER分析等可调用功能图符块。

1.3.6 选择设置逻辑库(Logic Library )

在系统窗下,双击图符库选择区内的“Logic ”图符按钮 ,再次双击移出的“Logic ”图符块,出现逻辑库(Logic Library )选择设置对话框, 如图1-3-6所示。通过6个选择开关按钮可分门别类地选择库内各种逻辑门、触发器和其它逻辑部件。

除已经介绍的图符库外,SystemView 还提供了其它种类的丰富库资源,但作为一般通信系统的仿真分析,基本可不涉及其它类型库的调用,由于篇幅的限制,恕不做进一步的详细介绍,对此有兴趣的读者可参阅有关资料。

1.4 系统定时(System Time )

在SystemView 系统窗中完成系统创建输入操作(包括调出图符块、设置参数、连线等)后,首先应对输入系统的仿真运行参数进行设置,因为计算机只能采用数值计算方式,起始点和终止点究竟为何值?究竟需要计算多少个离散样值?这些信息必须告知计算机。假如被分析的信号是时间的函数,则从起始时间到终止时间的样值数目就与系统的采样率或者采样时间间隔有关。实际上,各类系统或电路仿真工具几乎都有这一关键的操作步骤,SystemView 也不例外。如果这类参数设置不合理,仿真运行后的结果往往不能令人满意,甚至根本得不到预期的结果。有时,在创建仿真系统前就需要设置系统定时参数。

图1-3-6 逻辑库选择设置对话框

当在系统窗下完成设计输入操作后,首先单击“系统定时”快捷功能按钮 ,此时将出现系统定时设置(System Time Specification )对话框,如图1-4-1所示。用户需要设置几个参数框内的参数,包括以下几条:

1.4.1 起始时间(Start Time )和终止时间(Stop Time )

SystemView 基本上对仿真运行时间没有限制,只是要求起始时间小于终止时间。一般起始时间设为0,单位是秒(s )。终止时间设置应考虑到便于观察波形。

1.4.2 采样间隔(Time Spacing )和采样数目(No. of Samples ) 采样间隔和采样数目是相关的参数,它们之间的关系为:

采样数目=(终止时间-起始时间)×(采样率)+1

SystemView 将根据这个关系式自动调整各参数的取值,当起始时间和终止时间给定后,一般采样数目和采样率这两个参数只需设置一个,改变采样数目和采样率中的任意一个参数,另一个将由系统自动调整,采样数目只能是自然数。 1.4.3 频率分辨率(Freq.Res.)

当利用SystemView 进行FFT 分析时,需根据时间序列得到频率分辨率,系统将根据下列关系式计算频率分辨率:

频率分辨率=采样率/采样数目

1.4.4 更新数值(Update Values )

当用户改变设置参数后,需单击一次“Time Values ”栏内的Update 按钮,系统将自动更新设置参数,然后单击OK 按钮。

1.4.5 自动标尺(Auto Scale )

图1-4-1 系统定时设置对话框

系统进行FFT 运算时,若用户给出的数据点数不是2的整次幂,单击此按钮后系统将自动进行速度优化。

1.4.6 系统循环次数(No. of System Loops )

在拦内输入循环次数,对于“Reset system on loop ”项前的复选框,若不选中,每次运行的参数都将被保存,若选中,每次运行时的参数不被保存,经多次循环运算即可得到统计平均结果。应当注意的是,无论是设置或修改参数,结束操作前必须单击一次OK 按钮,确认后关闭系统定时对话框。

1.5 分析窗介绍

设置好系统定时参数后,单击“系统运行”快捷功能按钮 ,计算机开始运算各个数学模型间的函数关系,生成曲线待显示调用。此后,单击“分析窗口”快捷功能按钮 ,进入分析视窗(SystemView Analysis )进行操作。分析视窗如图1-5-1所示。

分析视窗的主要功能是显示系统窗中信宿(主要是Analysis 块)处的给类分析波形、功率谱、眼图、信号星座图等信息,每个信宿对应一个活动波形窗口,各以多种排列方式同时或单独显示,也可将若干个波形合成在同一个窗口中显示,以便进行结果对比。 在分析窗口下,第一行为“主菜单栏”,包括:File 、Edit 、Preferences 、Windows 、Help 五个功能栏;第二行为“工具栏”,自左至右的图标按钮依次为:

按钮1: 绘制新图 按钮2 :打印图形 按钮3 :恢复 按钮4 :点绘 按钮5 :连点 按钮6 :显示坐标 按钮7 :X 轴标记

按钮8 :平铺显示

按钮9 :横排显示

按钮10:叠层显示

按钮11:X 轴对数化 按钮12:Y 轴对数化

图1-5-1 分析窗口界面

按钮13:窗口最小化 按钮14:打开所有窗口 按钮15:动画模拟 按钮16:统计 按钮17:微型窗口

按钮18:快速缩放

按钮19:输入APG

按钮20:返系统窗

1.6 在分析窗下观察分析结果

通信系统的仿真分析结果主要以不同形式的时域或频域系统响应波形、特性曲线来表示,主要包括:时域波形、眼图、功率谱、信号星座图、误码特性曲线等形式,并以活动窗口给出。各类波形显示操作主要与“SystemView 信宿计算器”对话框的操作有关。当完

成了系统创建输入、设置好系统定时参数并运行后,便可进入分析视窗。单击分析窗下 端信宿计算器按钮 ,出现“SystemView 信宿计算器”对话框,如图1-6-1所示,该对话框左上部共有11个分类设置开关按钮,右上角的“Select one or more Windows:”窗口内顺序给出了分析系统中的“波形号:用户信宿名称(信宿块编号)”。

1.6.1 观察时域波形

时域波形是最为常用的系统仿真分析结果表达形式。进入分析窗后,单击“工具栏”内的绘制新图按钮(按钮1),可直接顺序显示出放置信宿图符块的时域波形,并可任意单击分析窗工具栏中的“窗口竖排列”(按钮7)、“窗口横排列”(按钮8)。

1.6.2 观察眼图

首先回顾一下“眼图”的概念。对于码间干扰和噪声同时存在的数字传输系统,给出系统传输性能的定量分析是非常繁杂的事请,而利用“观察眼图”这种实验手段可以非常

方便地估计系统传输性能。实际观察眼图的具体实验方法是:用示波器接在系统接收滤波

图1-6-1 SystemView 信宿计算器设置对话框

器输出端,调整示波器水平扫描周期T s ,使扫描周期与码元周期T c 同步(即T s =nT c ,n 为正整数),此时示波器显示的波形就是眼图。由于传输码序列的随机性和示波器荧光屏的余辉作用,使若干个码元波形相互重叠,波形酷似一个个“眼睛”,故称为“眼图”。“眼睛”挣得越大,表明判决的误码率越低,反之,误码率上升。SystemView 具有“眼图”这种重要的分析功能,图1-6-2给出了SystemView 分析所得眼图波形。

在分析窗下,当屏幕上已经出现波形显示活动窗后,单击信宿计算器按钮,出现“SystemView 信宿计算器”对话框,单击分类设置开关按钮 Style ,出现如图1-6-3所示的参数设置对话框,再次单击“Style ”栏内的按钮 Time Slice ,在其“Start Time[sec]”栏内输入观察波形的起始时刻,在“Length[sec]”栏内输入观察时间长度,单位均为秒。

(a )误码率较低的系统传输眼图 (b )误码率较高的系统传输眼图

图1-6-2 不同眼图的对比

应当注意的是,系统的输出波形自分析起始时刻开始常常有一段时间的过渡过程,故设置眼图观察的起始时刻应让过这段时间,图1-6-2(a )设置是Start Time[sec]=5、Length[sec]=5,而图1-6-2(b )设置是Start Time[sec]=0、Length[sec]=5,说明过渡状态期间的眼图较差。Length 设置的时间值越大,看到的“眼”越多,且应为T c 的整数倍。最后单击按钮 OK 返回分析窗,等待观察指定的眼图,究竟看哪一个信号的眼图,可用鼠标左键选中“Select one windows:”窗口内的块名称和编号(选中后变成反百条)。

1.6.3 观察功率谱

当需要观察信号功率谱时,可在分析窗下单击信宿计算器图标按钮,出现“SystemView 信宿计算器”对话框,单击分类设置开关按钮 Spectrum ,出现如图1-6-4所示对话框。 接下来选择计算功率谱的条件,如选中“Power Spectrum[dBm in 50 ohms]”项,则表示计算功率谱的条件为50欧负载上的对数功率谱;在“Select One Window:”栏目内选择信号观测点;最后单击按钮 OK 返回分析窗,等待功率谱显示活动窗口的出现。在通信系统分析过程中,对信号进行功率谱分析是十分重要的内容。

图1-6-4 信宿计算器下的“Spectrum ”对话框

1.6.4 观察信号星座图或相位路径转移图

在对数字调制系统或数字调制信号进行分析时,常借助二维平面的信号星座图(Signal Constellation )来形象地说明某种数字调制信号的“幅度-相位”关系,从而可以定性地表明与抗干扰能力有关的“最小信号距离”。以16QAM 系统为例,发送端理想的信号星座图如图1-6-5所示。

在接收系统输出,由于信道特性不理想和干扰噪声的影响,信号点产生发散现象,信号点的发散程度与信道特性不理想程度和噪声强度有关。图1-6-6(a )为接收滤波器输出在噪声极弱时的信号星座图,图1-6-6(b )为接收滤波器输出在噪声较

强时的信号星座图,这两张图是SystemView 经过大

量统计分析得到的,每组4电平基带码正交矢量合成为一个信号点。

除可以观察信号星座图外,利用SystemView 还可观察信号的相位转换图。在出现信号星座图显示活动窗口后,单击分析窗中第二行“工具栏”的按钮4(点绘)可观察星座图,单击按钮5(连点)可观察信号的相位路径转换图,两种操作可相互切换。点的大小可利用“Preference>>Smaller Points in …>>Normal/small/pixel ”命令修改。理想的16QAM 信号相位路径转换图如图1-6-7所示,注意,图形被拉长显示。

(a ) 噪声极弱时接收输出的16QAM 信号星座图 (b ) 噪声较强时接收输出的16QAM 信号星座图

图1-6-6 16QAM 信号星座图对比

图1-6-5 理想的16QAM 信号星座图

利用SystemView观察信号眼图或相位转换图,仍然是利用信宿计算器的对话框。仍以观察16QAM发送信号为例,其信号星座图和相位转换图与同相支路码信号(I信号)和正交支路码信号(Q信号)有关。在分析窗下单击信宿计算器按钮,在出现的对话框中,首先单击Style按钮,在“Select one window from each list:”栏内选中系统输入的I信号(w0:)后,单击Scatter Plot 按钮,再在“Versus”栏内选中系统输入的Q信号(w1:),如图1-6-8所示,最后单击按钮OK 结束设置操作,出现信号星座图显示活动窗口。

图1-6-8 观察星座图或相位路径的对话框设置

另外,在出现信号星座图后,单击“工具栏”内的按钮14(动画模拟),此时活动窗口内出现一个跳动光点,该光点的变化轨迹正是随所传数字序列改变信号点运动的轨迹。

1.7 总结

从这部分内容介绍可以看出,SystemView具有很强的通信系统仿真分析功能,除介绍的上述分析功能外,还可以做系统的误码率分析,此内容在第二部分中另行介绍。还有许多其它分析功能限于本书篇幅未做介绍,有兴趣的读者可进一步探索SystemView更广泛的应用领域。

systemview使用方法

第一部分SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 1.1 SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。 1.2 SystemView系统视窗 1.2.1 主菜单功能 进入SystemView后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示: 表1-2-1 SystemView3.0各菜单下的工具条及其功能 菜单工具条命令各工具条的功能简述 File菜单 File>>Newsystem 清除当前系统 File>>Open Recent System 打开最新的SystemView文件 File>>Open Existing System 打开已存在的SystemView文件 File>>Open System in Safe Mode 以安全模式打开系统 File>>Save System 用已存在的文件名存储当前系统内容 File>> Save System As 将当前系统内容另存为一个文件 File>> Save Selected Metasystem 存储选择的亚系统文件 File>>System File Information 系统文件信息 File>>Print System: Text Tokens 打印屏幕内容,图符块用文字代替 File>>Print System: Symbolic Tokens 如实打印屏幕内容,包括图符块 File>>Print System Summary 打印系统摘要,即图符块表 图1-2-1 系统视窗 1

SystemView及其操作简介

SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前我们见到的是4.5版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化系统软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 一、SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后,运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含有若干图符库的主库(MainLibrary)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(LogicLibrary)、射频/模拟库(RF Analog Library)、Matlab连接库(M-Link Library)和用户代码库(Costum Library)。 二、SystemView系统视窗 1、主菜单功能 图1 系统视窗 遵循以下步骤进入SystemView系统视窗: (1)双击SystemView图标,开始启动系统。

(2)首先会出现SystemView License Manager窗口,可用来选择附加库。本实验中选择Selectall再左键单击OK结束选择。 (3)然后会出现Recent SystemView Files窗口,可用来方便的选择所需打开的文件。在本实验中,左键单击Close结束选择。 完成以上操作,即可进入SystemView系统视窗。如图1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便签(NotePads)、连接(Connections)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tool)和帮助(Help)等11项功能菜单。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示:

systemview简介及实例

System View 仿真软件简介及实例

目录 第一部分S YSTEM V IEW简介 (2) 1.1 SystemView的基本特点 (2) 1.2 SystemView各专业库简介 (2) 1.3 System View的基本操作 (5) 第二部分通信原理实验 (7) 2.1 标准调幅 (7) 2.2 双边带调制(DSB) (10) 2.3 单边带调制(SSB) (12) 2.4 窄带角度调制(NBFM、NBPM) (14) 2.5 幅移键控ASK (17)

第一部分SystemView简介 SystemView是由美国ELANIX公司推出的基于PC的系统设计和仿真分析的软件工具,它为用户提供了一个完整的开发设计数字信号处理(DSP)系统,通信系统,控制系统以及构造通用数字系统模型的可视化软件环境。 1.1 SystemView的基本特点 1.动态系统设计与仿真 (1)多速率系统和并行系统: SYSTEMVIEW允许合并多种数据速率输入系统,简化 FIR FILTER的执行。 (2)设计的组织结构图: 通过使用METASYSTEM(子系统)对象的无限制分层结 构,SYSTEMVIEW能很容易地建立复杂的系统。 (3)SYSTEMVIEW的功能块: SYSTEMVIEW的图标库包括几百种信号源,接收端, 操作符和功能块,提供从DSP,通讯信号处理,控制直到构造通用数学模型的应用 使用。信号源和接收端图标允许在SYSTEMVIEW内部生成和分析信号以及供 外部处理的各种文件格式的输入/输出数据。 (4)广泛的滤波和线性系统设计: SYSTEMVIEW的操作符库包含一个功能强大的 很容易使用图形模板设计模拟和数字以及离散和连续时间系统的环境,还包含 大量的FIR/IIR滤波类型和FFT类型。 2.信号分析和块处理 SYSTEMVIEW分析窗口是一个能够提供系统波形详细检查的交互式可视环境。分析窗口还提供一个完成系统仿真生成数据的先进的块处理操作的接收端计算器。 接收端计算器块处理功能:应用DSP窗口,余切,自动关联,平均值,复杂的FFT,常量窗口,卷积,余弦,交叉关联,习惯显示,十进制,微分,除窗口,眼模式,FUNCTION SCALE,柱状图,积分,对数基底,数量,相,MAX,MIN,乘波形,乘窗口,非,覆盖图,覆盖统计,解相,谱,分布图,正弦,平滑,谱密度,平方,平方根,减窗口,和波形,和窗口,正切,层叠,窗口常数。 1.2 SystemView各专业库简介 SystemView的环境包括一套可选的用于增加核心库功能以满足特殊应用的库,包括通信库、DSP库、射频/模拟库和逻辑库,以及可通过用户代码库来加载的其他一些扩展库。

Systemview软件仿真实验指导书

Systemview软件仿真实验 Systemview动态系统仿真软件是为方便大家轻松的利用计算机作为工具,以实现设计和仿真工作。它特别适合于无线电话(GSM,CDMA,FDMA,TDMA)和无绳电话,寻呼,机和调制解调器与卫星通信(GPS,DBS,LEOS)设计。能够仿真( c,4x c等) 3x DSP结构,进行各种时域和频域分析和谱分析。对射频/模拟电路(混合器,放大器,RLC电路和运放电路)进行理论分析和失真分析。它有大量可选择的库允许你可以有选择的增加通讯,逻辑,DSP和RF/模拟功能。它可以使用熟悉的windows 约定和工具与图符一起快速方便地分析复杂的动态系统。下面大家可以清楚地了解systemview系统如何方便地辅助您的工作。让我们首先来看一下它的各种窗口: —systemview系统窗 systemview系统设计窗口如下: 图表1系统窗 1 第一行《菜单栏》有几个下拉式菜单,通过这些菜单可以访

问重要的systemvie功能包括File, Edit, Preference, View, Notepads, Connections,Complier, System, Tokens, Help.用 中每个菜单都会下拉显示若干选项。假如我们需要打开一个文件,则只需要用鼠标点中open.....既可,系统会显示对话框提示输入文件名或选择文件名。 2 第二行《工具栏》是由图标按扭组成的动作条: 图标1 清屏幕图标2 消元件 图标3 断线图标4连线 图标5 复制图标6 注释 图标7中止图标8运行 图标9 时间窗图标10分析窗 图标11 打开子系统图标12 创建子系统 图标13 跟轨迹图标14波特图 图标15 画面重画图标16 图标翻转在systemview系统中各动作的操作顺序为: 1)用鼠表单击动作按扭 2)单击要执行动作的图符 3 左侧竖栏为《元件库》,将在后面作详细介绍。 二Systemview 系统分析 分析窗是观察用户数据的基本载体,在系统设计窗口中单击分析按扭(图标是示波器)既可访问分析窗口。在分析窗口有多种选项可以增强显示的灵活性和用途。分析窗显示如下:

SystemView及其操作简介知识讲解

S y s t e m V i e w及其操 作简介

SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前我们见到的是4.5版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化系统软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 一、SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后,运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含有若干图符库的主库(MainLibrary)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(LogicLibrary)、射频/模拟库(RF Analog Library)、Matlab连接库(M-Link Library)和用户代码库(Costum Library)。 二、SystemView系统视窗 1、主菜单功能

图1 系统视窗 遵循以下步骤进入SystemView系统视窗: (1)双击SystemView图标,开始启动系统。 (2)首先会出现SystemView License Manager窗口,可用来选择附加库。本实验中选择Selectall再左键单击OK结束选择。 (3)然后会出现Recent SystemView Files窗口,可用来方便的选择所需打开的文件。在本实验中,左键单击Close结束选择。 完成以上操作,即可进入SystemView系统视窗。如图1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便签(NotePads)、连接(Connections)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tool)和帮助(Help)等11项功能菜单。

systemview使用方法_0

---------------------------------------------------------------最新资料推荐------------------------------------------------------ systemview使用方法 第 1 页 SystemView 美国 ELANIX 公司于 1995 年开始推出 SystemView 软件工具,最早的 1.8 版为 16bit 教学版,自 1.9 版开始升为 32bit 专业版,目前已推出了 3.0 版。 SystemView 是在 Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和 Z 变换分析。 1 .1 SystemView的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。 SystemView 的库资源十分丰富,主要包括: 含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code 1 / 3

SystemView实验

实验一图符库的使用 一、实验目的 1、了解SystemVue图符库的分类 2、掌握SystemVue各个功能库常用图符的功能及其使用方法 二、实验内容 按照实例使用图符构建简单的通信系统,并了解每个图符的功能。 三、基本原理 SystemVue的图符库功能十分丰富,一共分为以下几个大类 1.基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它 为该系统仿真提供了最基本的工具。 (信源库):SystemView为我们提供了16种信号源,可以用它来产生任意信号 (算子库)功能强大的算子库多达31种算子,可以满足您所有运算的要求 (函数库)32种函数尽显函数库的强大库容! (信号接收器库)12种信号接收方式任你挑选,要做任何分析都难不倒它 2.扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。 它允许通信、DSP、射频/模拟和逻辑应用。 (通信库):包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信 道模型一应俱全。 (DSP库):DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器 的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。

(逻辑运算库):逻辑运算自然离不开逻辑库了,它包括象与非门这 样的通用器件的图标、74系列器件功能图标及用户自己的图标等。 (射频/模拟库):射频/模拟库支持用于射频设计的关键的电子组件, 例如:混合器、放大器和功率分配器等。 3. 扩展用户库 扩展的用户库包括有扩展通信库2、IS95/CDMA 、数字视频广播DVB 等。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种 衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。 除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了 复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 四、 实验步骤 第一部分:计算信号的平方 1) 从基本图符库中选择信号源图符,选择正弦波信号,参数设定中设置幅度为1,频 率为10Hz ,相位为0。 2) 选择函数库,并选择Algebraic 标签下的图符。在参数设定中设置a=2,表示 进行x 2运算。 3) 放置两个接收器图符,分别接收信号源图符的输出和函数算术运算的输出,并选择 Graphic 标签下的图符,表示在系统运行结束后才显示接收到的波形。 4) 将图符进行连接,运行仿真,最终结果如下图所示: 信号源 平方 接收器 接收器

SystemView基本介绍

SystemView工具条图标介绍 返回上级菜 工具条包括许多常用功能的图标快捷键,当鼠标移动到每个快捷键图标上时,程序会自动提示能键的作用,各功能键的作用如下: 切换图符库: 用于将图符栏在基本图符库与扩展图符库之间来回切换。点击三角形则可入用户自定义库。 打开已有系统: 将以前编辑好的系统调入设计工作区,现有设计区将被新的系统替代,入新的系统以前,软件提示将目前设计区内容存盘。 保存当前设计区: 将当前设计工作区内容存盘。学习版无此功能。必须升级到专业版功能才能有效。 将当前设计工作区的图符及连接输出到打印机。学习版无此功能 清除工作区: 用于清除设计窗口中的系统。如果用户没有保存当前系统,会弹出一个系统的对话框。 删除按钮: 用于删除设计窗口中的图符或图符组。用鼠标单击该按钮再单击要删除的即可删除该图符 断开图符间连接: 单击此按钮后,分别单击需要拆除它们之间连接的两个图符,两图间的连线就会消失。注意必须按信号流向的先后次序按两个图符。 连接按钮: 单击此按钮,再单击需要连接的两个图符,带有方向指示的连线就会出现图符之间,连线方向由第一个图符指向第二个,因此要注意信号的流向。 复制按钮: 单击此按钮,再单击要复制的图符则出现一个与原图符完全相同的图符,符与原图符具有相同的参数值,并被放置在与原图符位置相差半个网格的位置上。 图符翻转: 单击此按钮,再单击需要翻转的图符,该图符的连线方向就会翻转180度,线也会随之改变,但是图符之间的连接关系并不改变。此功能在调整设计区图符位置时用。主要用于美化设计区图符的分布和连线,避免线路过多交叉。 创建便笺: 用于在设计区中插入一个空白便笺框,用户可以输入文字、移动或重新编便笺。 创建子系统: 用于把所选择的图符组创建成MetaSystem。单击此按钮后,按住鼠标左并拖拽鼠标可以把选择框内的一组图符创建为子系统MetaSystem,并出现一个子系统替代原来的图符。 显示子系统: 用于观察和编辑嵌入在用户系统中的MetaSystem结构。单击此按钮,然再单击感兴趣的MetaSystem图符,一个新窗口就会出现并显示出MetaSystem。学习版

SystemView的基本库使用说明

SystemView 的基本库使用说明 基本库 SystemView 的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提供了最基本的工具。 1、 SystemView 为我们提供了16种信号源,可以用它来产生任意信号 2、 功能强大的算子库多达31种算子,可以满足您所有运算的要求 3、 32种函数尽显函数库的强大库容! 4、 12种信号接收方式任你挑选,要做任何分析都难不倒它 扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP 、射频/模拟和逻辑应用。 1、 包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 2、 DSP 库能够在你将要运行DSP 芯片上仿真DSP 系统。该库支持大多DSP 芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP 算法操 作符。还包括高级处理工具:混合的Radix FFT 、FIR 和IIR 滤波器以及块传输等。 3、 逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。 4、 射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。 扩展用户库 扩展的用户库包括有Elanix 公司自己提供的扩展通信库2、IS95/CDMA 、数字视频广播DVB 。另外其合作伙伴Entegra 公司也提供了自适应滤波器库 (https://www.doczj.com/doc/db16839011.html,)。有能力的读者也可自己用C/C++语言自编所需的库,后加入即可。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 数字视频广播库(DVB) Systemview 信号源库 图符 名称 参数 功能描述 扫频信号 (Freq sweep) 1.幅度 2.起始频率 fstart 3.停止频率fstop 4.扫描频率(秒) 5.相位 输出扫频正弦信号:y(t)=Asin(2PIfstart+PI R(tmod(T))2+*) R=(fstop-fstart)/T PSK 载波 (PSK carrier) 1.幅度 2.频率(HZ) 3.载波相位(deg) 4.符号速率 5.符号产生一个u 率相位调制载波信号y(t)=sin(2PIfct+*T(t)+ *) 其中*T(t)是具有u 率相位值的PN 序列(0-2PI),T 是设置的 符号周期(符号速率的倒数),*是载波相位。

SystemView实验报告(全)

昆明理工大学(SystemView)实验报告 实验名称:SystemView 实验时间:20013 年9 月8日 专业:11电信指导教师:文斯 姓名:张鉴 学号:201111102210 成绩:教师签名:文斯 第一章SystemView的安装与操作 一实验目的 1、了解和熟悉Systemview 软件的基本使用; 2、初步学习Systemview软件的图符库,能够构建简单系统。 二实验内容 1、熟悉软件的工作界面; 2、初步了解Systemview软件的图符库,并设定系统定时窗口; 3、设计一些简单系统,观察信号频谱与输出信号波形。 三实验过程及结果 1.1试用频率分别为f1=200HZ、f2=2000HZ的两个正弦信号源,合成一调制 信号y(t)=5sin(2πf1t)*cos(2πf2t),观察其频谱与输出信号波形。注意根据信号的频率选择适当的系统采样数率。 画图过程: (1)设置系统定时,单击按钮,设置采样率20000Hz,采样点数512;

(2)定义两个幅度分别为1V,5V,频率分别为200Hz,2000Hz的正弦和余弦信号源; (3)拖出乘法器及接收图符; (4)连线; (5)运行并分析单击按钮和。 仿真电路图: 波形图如下: 频谱图如下:

结果分析: 频率为200HZ 的信号与频率为2000HZ的信号f2相乘,相当于在频域内卷积,卷积结果为两个频率想加减,实现频谱的搬移,形成1800HZ和2200HZ的信号,因信号最高频率为2000HZ所以采用5000HZ的采样数率。 1.2将一正弦信号与高斯噪声相加后观察输出波形及其频谱。由小到大改变高斯噪声的功率,重新观察输出波形及其频谱。 画图过程: (1)设置系统定时,单击按钮,设置采样率100Hz,采样点数128; (2)定义一个幅度为1V,频率为100Hz正弦信号源和一个高斯噪声; (3)拖出加法器及接收图符; (4)连线; (5)运行并分析单击按钮和; (6)在分析窗口下单击进入频谱分析窗口,再单击点OK分析频谱。 仿真电路图:

SystemView系统课程设计

目录 1 SystemView简单介绍及其操作简介 (1) 1.1 SystemView的基本特点 (1) 1.2 SystemView系统视图 (1) 1.2.1 主菜单功能图 (1) 1.2.2 快捷功能按钮 (4) 1.2.3 图符库选择按钮 (4) 2抽样定理的仿真电路的设计与分析 (5) 2.1 抽样定理的实验目的 (5) 2.2 抽样定理的实验内容 (5) 2.3 低通信号采样与恢复原理图 (5) 2.4 SystemView仿真系统原理图 (5) 2.5实验步骤 (6) 2.6 实验结果 (6) 3 数字基带信号传输 (9) 3.1数字基带信号传输的实验目的 (9) 3.2数字基带信号传输的实验内容 (9) 3.3 基带信号传输系统模型 (9) 3.4 数字基带信号传播 (10) 3.5 实验步骤 (10) 3.6试验结果 (10) 3.7 实验总结 (11) 参考文献 (12) 4. 心得体会 (13)

1 SystemView 简单介绍及其操作简介 1.1 SystemView 的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP )系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token )库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView 的库资源十分丰富,主要包括:含若干图符库的主库(Main Library )、通信库(Communications Library )、信号处理库(DSP Library )、逻辑库(Logic Library )、射频/模拟库(RF Analog Library )和用户代码库(User Code Library )。 1.2 SystemView 系统视图 1. 2.1 主菜单功能图 进入SystemView 后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File )、编辑(Edit )、参数优选(Preferences )、视窗观察(View )、便笺(NotePads )、连接(Connetions )、编译器(Compiler )、系统(System )、图符块(Tokens )、工具(Tools )和帮助(Help )共11项功能菜单。与最初的SystemView 1.8相比, 图1-2-1 系统视窗

SystemView图符库的使用

SystemView图符库的使用 一、实验目的 1、了解SystemView图符库的分类; 2、掌握SystemView各个功能库常用图符的功能及其使用方法。 二、实验内容 按照实例使用图符构建简单的通信系统,并了解每个图符的功能。 三、实验原理 SystemVue的图符库功能十分丰富,一共分为以下几个大类 1.基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提供了最基本的工具。 (信源库):SystemView为我们提供了16种信号源,可以用它来产生任意信号 (算子库)功能强大的算子库多达31种算子,可以满足您所有运算的要求 (函数库)32种函数尽显函数库的强大库容! (信号接收器库)12种信号接收方式任你挑选,要做任何分析都难不倒它2.扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP、射频/模拟和逻辑应用。 (通信库):包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 (DSP库):DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。 (逻辑运算库):逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。

(射频/模拟库):射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。 3. 扩展用户库 扩展的用户库包括有扩展通信库2、IS95/CDMA 、数字视频广播DVB 等。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 四、实验步骤 第一部分:计算信号的平方 2) 从基本图符库中选择信号源图符,选择正弦波信号,参数设定中设置幅度为1,频率为10Hz ,相位为0。 3) 选择函数库,并选择Algebraic 标签下的 图符。在参数设定中设置a=2, 表示进行x 2运算。 4) 放置两个接收器图符,分别接收信号源图符的输出和函数算术运算的输出,并选择Graphic 标签下的图符,表示在系统运行结束后才显示接收到的波形。 5) 将图符进行连接,运行仿真,最终结果如下图所示:

system view实验报告

专业系列实验报告 姓名:董吉明 学号:0812040113

班级:通信①班 目录 第一章绪论 (1) SystemViewf仿真软件熟悉 (3) 第二章实验一 (4) 1.高通滤波器定义 (4) 2. 低通滤波器定义 (4) 3. 带通滤波器定义 (4) 4. 带阻滤波器定义 (4) 第三章实验二 (7) 一.数字调制概述 (7) 二.ASK移幅键控用SystemViewf仿真设计 (8) 1.ASK移幅键控定义 (9) 2.2ASK信号的产生 (9) 3. 2ASK信号解调的常用方法 (10) 三.2.2DPSK移相键控用SystemViewf仿真设计 (13) 1.PSK移相键控用定义 (13) 2.PSK分类 (13) 3.2DPSK介绍 (14) 4.2DPSK解调方法 (15) 5.2DPSK实验结果分析 (20) 第四章结语 (21)

第一章绪论 SystemViewf仿真软件熟悉 电子技术实验教学是教学改革中最活跃的领域之一。传统的电子技术实验教学基本上是纯硬件的。然而,近年来,随着EDA(Electronic Design Automation,电子设计自动化)技术的发展,引入了软件仪器与软件器件,由此而产生了一系列电子实验和设计方法的改变。构成了由计算机软件组成的虚拟仪器和虚拟器件,改变了传统的电子技术实验教学基础上是纯硬件的实验方法,这样既可以大大降低了电子技术实验教学的实验成本又可以充分发挥同学的想象力和创造力,设计和仿真各种自己想要的电路,以提高同学的设计能力和创造力、因此,软件电子技术实验教学将发挥着越来越重要作用,计算机平台的电子技术仿真设计势在必行。 SystemView是美国Elanix公司研制的一个动态系统设计、仿真和分析的可视化软件,提供了开发电子系统的模拟和数字工具,它主要用于以下几个方面:信号处理、通信和控制系统设计和仿真。本次实验的意图是在硬件实验的基础上再用软件SystemView仿真的方法进行仿真实验,从而加深实验内容的理解和初步掌握SystemView仿真软件的使用。 实验主要内容: 1、掌握SystemView仿真软件的使用 2、用软件设计4种滤波器并仿真

systemview的用法

本章的图符库包括了systemview的所有功能图符,可供读者快速查阅。它是一个高度浓缩了的图符功能表,您可以快速选取或查阅所需的图符功能,而不用频繁的翻阅英文使用说明 书。 基本库 SystemView的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提 供了最基本的工具。 SystemView为我们提供了16种信号源,可以用它来产生任意信号 功能强大的算子库多达31种算子,可以满足您所有运算的要求 32种函数尽显函数库的强大库容! 12种信号接收方式任你挑选,要做任何分析都难不倒它 扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP、射 频/模拟和逻辑应用。 包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。 这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 DSP库能够在你将要运行DSP芯片上仿真DSP系统。该库支持大多DSP芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP算法操作符。还包括高级处理工具:混合的Radix FFT、FIR和IIR滤波器以及块传输等。 逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件 功能图标及用户自己的图标等。

射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配 器等。 扩展用户库 扩展的用户库包括有Elanix公司自己提供的扩展通信库2、IS95/CDMA、数字视频广播DVB。另外其合作伙伴Entegra公司也提供了自适应滤波器库(https://www.doczj.com/doc/db16839011.html,)。 有能力的读者也可自己用C/C++语言自编所需的库,后加入即可。 通信库2:扩展的通信库2主要对原来的通信库加了时分复用、OFDM调制解调、QAM 编码与调制解调、卷积码收缩编解码、GOLD码以及各种衰落信道等功能。4.5版中,通信 库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA所有信道 模型,可按两种速率工作。 数字视频广播库(DVB) 与其他仿真软件联合设计 MATLAB SIMULINK XILINX FPGA CoreGenerator 信号源库 扫频信号(Freq sweep) 参数: 1.幅度2.起始频率fstart 3.停止频率fstop 4.扫描频率(秒) 5.相位 功能: 输出扫频正弦信号:y(t)=Asin(2PIfstart+PI×R(tmod(T))2+*) R=(fstop-fstart)/T

基于SystemView的2PSK仿真实现综述

JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 通信原理课程设计报告 课程设计题目:基于SystemView的2PSK仿真实现 班级: 学号: 姓名: 指导教师姓名:钱志文任艳玲 设计地点:60#507 2015年

序言 (3) 第1章SystemView 软件介绍 (4) 1.1 SystemView介绍 (4) 第2章2PSK系统工作原理 (5) 2.1 工作原理 (5) 2.2 2PSK的解调原理 (6) 第3章基于SystemView的2PSK仿真实现 (7) 3.1仿真方案原理 (7) 3.2仿真框图及介绍 (8) 3.3 仿真结果及其分析 (8) 参考文献 (11) 体会与建议 (12) 附录 (13)

本次课程设计的课题是基于SystemView的2PSK系统仿真设计,要求为输入双极性码元速率为11B,载波频率为110Hz,观察输入序列、PSK信号、带通输出、低通输出和解调输出的波形是否正确和特点,并画出各点波形。 2PSK是二进制相移键控。2PSK是相移键控的最简单的一种形式,它用两个初相相隔为180的载波来传递二进制信息。 在波形图中,假设相干载波的基准相位与2PSK信号的调制载波的基准相位一致(通常默认为0相位)。但是,由于在2PSK信号的载波恢复过程中存在着的相位模糊,即恢复的本地载波与所需的相干载波可能同相,也可能反相,这种相位关系的不确定性将会造成解调出的数字基带信号与发送的数字基带信号正好相反,即“1”变为“0”,“0”变为“1”,判决器输出数字信号全部出错。这种现象称为2PSK 方式的“倒π”现象或“反相工作”。这也是2PSK方式在实际中很少采用的主要原因。另外,在随机信号码元序列中,信号波形有可能出现长时间连续的正弦波形,致使在接收端无法辨认信号码元的起止时刻。 2PSK信号的解调方法是相干解调法。由于PSK信号本身就是利用相位传递信息的,所以在接收端必须利用信号的相位信息来解调信号。进行抽样判决。判决器是按极性来判决的,抽样判决器设置门限值为0,大于0时输出码元“1”,小于0时输出码元“0”。

systemview实验指导书

第2部分 SystemView在通信仿真系统中的应用 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 2.1 SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。

2.2 SystemView 系统视窗 2.2.1 主菜单功能 进入SystemView 后,屏幕上首先出现该工具的系统视窗,如图2-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem, 图2-2-1 系统视窗

systemview教程

第4章System View调用其它工具 4.1 用户代码库的调用 当用户感觉System View丰富的图标库资源不能完全满足自己的需要时System View提供的支持用户自己定义图标的用户代码库User Code Library功能为系统设计人员提供了更加灵活的设计手段 在System View中调出一个User Code库图标双击它出现如图4.1.1所示的界面 图4.1.1 添加用户自定义图标动态链接库的窗口 单击Add Library…按钮可以选定所需加载的动态链接库文件将其 加载进来单击Remove Library按钮可以删除已加载的动态联接库文件用户安装好System view后在安装目录的Examples\UserCode子目录下有一些已写好例子可以通过Svucode.dll文件加载进来通过它该库中包括的11个图标都可被调用例如选定某个图标SINCOS关于它的简要说明显示在左 下角的说明框中该图标的属性源一般或输出显示在该窗口的右上方任何一个图标都必须是这三种属性之一其输入输出端口的个数参数的个数等也分别显示在框中单击Parameters…按钮就可进入参数设定界面

图4.1.2 参数设定界面 对例子中的正弦余弦图标其参数有两个频率和初相位分别设为10 Hz 和0度单击OK确定就完成了对这个图标的设置下一步只需将这个图 标和其它图标连起来构成整个系统即可在用户代码库中加载了动态链接库文件以后用户代码库中由用户自定义的各图标都可以与System View中本身带有的所有其它图标一样在使用上没有任何区别例如在本例中选择的正弦/ 余弦图标是一个信号源属性的图标适当设置参数后将其直接连到观察窗并设置适当的系统时间参数就可运行并观察其输出 每个动态链接库文件可定义不超过80个函数每个函数代表一个图标因此每加载一个动态链接库文件就相当于增加了最多80个自定义图标其中每个自定义图标可定义最多9个参数和最多分别为20个的输入端口和输出端口 因此用户代码库大大增加了设计的灵活性和可操作性 以C或C++语言编写的源代码通过编译生成32位的Windows动态链接库文件要求可在Windows NT 3.51或Window 95以上版本的操作系统中运行系统支持的一些C或C++编译器如下 Borland C++ for Windows MetaWare High C/C++ Micorsoft Visual C++ Symantec C++ Watcom C/C++ 关于程序源代码的编写用户可以仿照System View中的例子自己编写程序源代码完成所需功能或以这些例子为样本加入自己定义的函数即可需要改写的文件为定义文件*.def头文件*.hpp程序源代码*.cpp和usercode.c

相关主题
文本预览
相关文档 最新文档