当前位置:文档之家› 数字系统设计报告

数字系统设计报告

数字系统设计报告
数字系统设计报告

数字电路与系统设计实验报告

班级:

学号:

姓名:

地点:

批次:

时间:

一.实验目的

通过基本门电路性能测试实验使学生掌握基本门电路的工作原理、门电路的外特性(IC门电路的引脚排列顺序,输入/输出电平要求等);通过计算机仿真技术使学生掌握组合逻辑电路的设计方法,掌握触发器功能及其波形关系,掌握时序电路的设计方法,培养学生的实践动手能力和实验技能。

二.实验内容

●实验一基本逻辑门电路实验

一、基本逻辑门电路性能(参数)测试

(一)实验目的

1.掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。

2.熟悉TTL中、小规模集成电路的外型、管脚和使用方法。

(二)实验所用器件和仪表

l.二输入四与非门74LS00 1片

2.二输入四或非门74LS02 1片

3.二输入四异或门74LS86 1片

(三)实验内容

1.测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。

2.测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。

3.测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。(四)实验提示

1.将被测器件插入实验台上的14芯插座中。

2.将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。

3.用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。

4.将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。

(五)实验接线图及实验结果

74LS00中包含4个二输入与非门,7402中包含4个二输入或非门,7486中包含4个二输入异或门,它们的引脚分配图见附录。下面各画出测试7400第一个逻辑门逻辑关系的接线图及测试结果。测试其它逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接十5V。图中的K1、K2接电平开关输出端,LED0是电平指示灯。

1、测试74LS00逻辑关系

2、测试74LS28逻辑关系

3、测试74LS86逻辑关系

二、 TTL、HC和HCT器件的电压传输特性

(一)、实验目的

1.掌握TTL、HCT和 HC器件的传输特性。

2.掌握万用表的使用方法。

(二)、实验所用器件和仪表

1.六反相器74LS04片

2.六反相器74HC04片

3.六反相器74HCT04片

4.万用表

(三)、实验说明

与非门的输出电压Vo与输入电压Vi的关系Vo=f(Vi)叫做电压传输特性,也称电压转移特性。它可以用一条曲线表示,叫做电压传输特性曲线。从传输特性曲线可以求出非门的下列有用参数:

.输出高电平(VOH)

.输出低电平(VOL)

.输入高电平(VIH)

.输入低电平(VIL)

.门槛电压(VT)

(四)、实验内容

1.测试TTL器件74LS04一个非门的传输特性。

2.测试HC器件74HC04一个非门的传输特性。

3.测试HCT器件74HCT04一个非门的传输特性。

(五)、实验提示

1.注意被测器件的引脚7和引脚14分别接地和十5V。

2.将实验台上4.7KΩ电位器RTL的电压输出端连接到被测非门的输入端,RTL的输出端电压作为被测非门的输入电压。旋转电位器改变非门的输入电压值。

3.按步长V调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。

(六)、实验接线图及实验结果

1.实验接线图

由于 74LS04、74HC04和 74HCT04的逻辑功能相同,因此三个实验的接线图是一样的。下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如下

2.输出无负载时74LS04、74HC04、74HCT04电压传输特性测试数据

3.按测试结果给出输出无负载时74LS04、74HC04和 74HCT04电压传输特性曲线,并与下图比较。

4.比较三条电压传输特性曲线,说明各自的特点。

尽管只对三个芯片在输出无负载情况下进行了电压传输特性测试,但是从图、图和图所示的三条电压传输特性曲线仍可以得出下列观点: (1)74LS芯片的最大输入低电平VIL低于74HC芯片的最大输入低电平VIL,74LS芯片的最小输入高电平VIH低于74HC芯片的最小输出高电平VIH。

(2)74LS芯片的最大输入低电平VIL、最小输入高电平VIH与74HCT芯片的最大输入低电平VIL、最小输出高电平VIH相同。

(3)74LS芯片的最大输出低电平VOL高于74HC芯片和74HCT芯片的最大输出低电平VOL。74LS芯片的最小输出高电平VOH低于74HC芯片和74HCT芯片的最小输出高电平VOH。

(4)74HC芯片的最大输出低电平VOL、最小输出高电平VOH与 74HCT芯片的最大输出低电平VOL、最小输出高电平VOH相同。

5.在暂时不考虑输出负载能力的情况下,从上述观点可以得出下面的推论:(1)74H CT芯片和74HC芯片的输出能够作为 74LS芯片的输入使用。

(2)74LS芯片的输出能够作为74HCT芯片的输入使用。

实际上,在考虑输出负载能力的情况下,上述的推论也是正确的。应当指出,虽然在教科书中和各种器件资料中,74LS芯片的输出作为74HC芯片的输入使用时,推荐的方法是在74LS 芯片的输出和十5V电源之间接一个几千欧的

上拉电阻,但是由于对74LS芯片而言,一个74HC输入只是一个很小的负载,74LS芯片的输出高电平一般在3.5V~之间,因此在大多数的应用中,74LS芯片的输出也可以直接作为74HC芯片的输入。

三、逻辑门控制电路

1)用与非门和异或门安装如图(a)所示的电路。检验它的真值表。

2)用3个三输入端与非门IC芯片74LS10安装如图1.9所示的电路。

从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。

实验二组合逻辑电路部件实验

实验目的:掌握逻辑电路设计的基本方法

掌握EDA工具MAX-PlusII的原理图输入方法

掌握MAX-PlusII的逻辑电路编译、波形仿真的方法

(一)逻辑单元电路的波形仿真

利用EDA工具MAX-PlusII的原理图输入法,分别输入74138、7483图元符号;建立74138、7483的仿真波形文件,并进行波形仿真,记录波形;

分析74138、7483逻辑关系。

1.3-8译码器74138的波形仿真

2.4位二进制加法器7483的波形仿真

4位二进制加法器集成电路 74LS83中,A和B是两个4位二进制数的输入端,C out,S3,S2,S1,S0是5位输出端。C in是进位输入端,而C out是

进位输出端。

(二)简单逻辑电路设计

利用EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;

建立相应仿真波形文件,并进行波形仿真,记录波形和输入与输出的时延差;分析设计电路的正确性。

1.设计一个2-4译码器

E为允许使能输入线,A1、A2为译码器输入,Q0、Q1、Q2、Q3分别为输出。

2.设计并实现一个4位二进制全加器

(1)二进制全加器原理:对两个n位二进制改作加法运算的数字电路是由一个半加器和(n-1)个全加器组成。它把两个n位二进制数作为输入信号。产生一个(n+1)位二进制数作它的和。一个n位二进制加法器的方框图如图

所示。图中A和B是用来相加的两n位输入信号,Cn-1,Sn-1,Sn-2,······S2,S1,S0是它们的和。在该电路中方对A0和B0相加是用一个半加器,对其它位都用全加器。如果需要串接这些电路以增加相加的位数,那么它的第一级也必须是一个全加器。图4.4表示都用全加器实现的加法器电路。

(2)实验步骤:

①设计1位二进制全加器,逻辑表达式如下:

S n=A n⊕B n⊕C n-1

C n= A n·B n+C n-1(A n⊕B n)

A n是被加数,

B n是加数,S n是和数,

C n是向高位的进位,C n-1是低位的

进位。

②利用1位二进制全加器构成一个4位二进制全加器

3.交叉口通行灯逻辑问题的实现

图表示一条主干公路(东一面)与一条二级道路的交叉点。车辆探测器沿着A、B、C和D线放置。当没有发现车辆时,这些敏感组件的输

出为低电平‘0”。当发现有车辆时,输出为高电平“1”。交叉口通行

灯根据下列逻辑关系控制

(a)东一西灯任何时候都是绿的条件

(l)C和D线均被占用;

(2)没有发现车辆;

(3)当A、B线没同的占用时,

C或D任一条线被占用;

(b)南一北灯任问时候都是绿的条件

(1)A和B线均被占用,而C和D线均未占用或只占用一条线;

(2)当C和D均未被占用时,A或B任一条线被占用。

有两个输出端,南北和东西,输出高电平对应绿灯亮,输出低电平对应红灯亮。

用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。

4.设计一个7位奇/偶校验器

奇/偶校验代码是在计算机中常用的一种可靠性代码。它由信息码和一位附加位——奇/偶校验位组成。这位校验位的取值(0或1)将使整个代码串中的1的个数为奇数(奇校验代码)或为偶数(偶校验代码)。

(1) 奇/偶校验位发生器

(a)奇/偶校验位发生器就是根据输入信息码产生相应的校验位。奇校验位定

义是指当输入信息码中1的数目为奇数个时,奇校验位为0;当输入信息码中“1”的数目为偶数个时,奇校验位为1;使得信息码与奇校验位中“1”的总数目为奇数个,偶校验位的定义则相反。图是4位信息码的奇校验位发生器电路。它

是基于“异或”门的“相同得0、相异得1”原理设计的。

图 P

X3X1X2B8

B4B2B11

据图可推知:当B 8B 4B 2B 1中的1的个数为偶数时此奇校验位发生器输出的校

验位P 为1,反之为0。

(b) 设计一个7位二进制代码分别为a0、a1、a2、a3、a4、a5、a6的奇/偶校验位发生器,奇校验位为P ,偶校验位为E 。逻辑表达式如下:

P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6 E= P 。

(2) 奇/偶校验代码校验器

(a)奇/偶校验器用于校验奇(偶)校验代码在传送和存储中有否出现差错,它具有发现所有奇数个位数错的能力。

所谓奇校验器,即:若输入代码中1的个数为奇数,则输出1(表示正常),反之输出0。

所谓偶校验器,即:若输入代码中1的个数为偶数,则输出1(表示正常),反之输出0。

(b) 设计一个8位二进制代码分别为a0、a1、a2、a3、a4、a5、a6、 p 的奇校验器。逻辑表达式如下:

S= a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P

显然,当校验器的输入代码a0a1a2a3a4a5a6 p 中1的个数为奇数时,校验器的输出S 为1、反之S 为0。

5. 设计一个四选一单元(数据选择器)电路FD86

数据选择器又称输入多路选择器、多路开关。它的功能是在选择信号的控制下,从若干路输入数据中选择某一路输入数据作为输出。

功能表

E是选通使能端,A1、A0分别是选择信号端,D0、D1、D2、D3分别是四路数据,F是输出端。

6.设计一个1:4数据分配器FD93

D

C

C

Yo?

?

=0

1

D

C

C

Y?

?

=0

1

1

D

C

C

Y?

?

=0

1

2

D

C

C

Y?

?

=0

1

3

功能表如下:

输入端输出端

G S1S0D Y0Y1Y2Y3

1ΦΦΦ1111

0O O D D111

001D1D11

010D11D1

011D111D

G 是选通使能端,S1、S0分别是选择端,D是一路输入数据,Y0、Y1、Y2、

Y3分别是选择的输出。

7.设计并实现2位二进制数字比较器

功能描述:比较A1A0和B1B0两个2位数:

当A1A0=B1B0时,电路输出端E=1,其它情况时E=0;

当A1A0>B1B0时,电路输出端L=1, 其它情况时L=0;

当A1A0<B1B0时,电路输出端S=1, 其它情况时S=0;

并进行波形仿真。

实验三时序电路设计

(一)触发器实验

实验目的

1.掌握RS触发器、D触发器、JK触发器的工作原理。

2.学会正确使用RS触发器、D触发器、JK触发器。

实验内容

1.用 74LS00构成一个 RS 触发器。给出R、S波形序列,进行波形仿真,说明RS触发器的功能。

2.D触发器DFF(或双D触发器74LS74中一个D触发器)功能测试。

D触发器的输入端口CLR是复位或清零,PRN是(置位);给定D(数据)、CLK(时钟)波形序列,进行波形仿真,记录输入与输出Q波形。

说明D触发器是电平触发还是上升沿触发,分析原因。

3.JK触发器JKFF(或双JK触发器74LS73、74LS76中一个JK触发器)

功能测试与分析。

JK触发器输入端口CLR是复位端,PRN是置位端,CLKS是时钟。给出CK,J,K的波形,仿真JK触发器的功能,说明JK触发器的CLK何

时有效。

D触发器74LS74是上升沿触发,JK触发器74LS73是下降沿触发。

(二)简单时序电路设计实验

实验目的

学习利用EDA工具设计简单时序电路。

掌握简单时序电路的分析、设计、波形仿真、器件编程及测试方法实验内容

1.用D触发器(或74LS74)构成的4位二进制计数器(分频器)

1)建立波形文件,对所设计电路进行波形仿真。并记录Q0、Q1、Q2、

Q3的状态。

2)对所设计电路进行器件编程。将CLK引脚连接到实验系统的单脉

冲输出插孔,4位二进制计数器输出端Q0、Q1、Q2、Q3连接到LED

显示灯,CLR、PRN端分别连接到实验系统两个开关的输出插孔。

3)由时钟CLK输入单脉冲,记录输入的脉冲数,同时观测 Q0、Q1、

Q2、Q3对应LED显示灯的变化情况。

2.异步计数器

异步计数器是指输入时钟信号只作用于计数单元中的最低位触发器,各触发器之间相互串行,由低一位触发器的输出逐个向高一位触发器传递进位信号而使得触发器逐级翻转,所以前级状态的变化是下级变化的条件,只有低位触发器翻转后才能产生进位信号使高位触发器翻转。

1)计数器单元电路仿真P62

a)用74LS93构成一个2位十六进制计数器,并进行波形仿真。

b)用74LS90构成一个2位BCD码计数器,并进行波形仿真。

2)设计异步十进制计数器

a)用JK触发器(或双JK触发器74LS73、7476)构成1位十进制计

数器(或BCD计数器)

74LS76图、释戴p55

b)对所设计的计数器,建立相应波形文件,进行波形仿真。并记录

计数值Q0、Q1、Q2、Q3的状态

c)对设计的计数器进行器件编程、连线,由时钟端 CLK输入单脉冲,

测试并记录 Q0、Q1、Q2、Q3的状态变化,验证设计电路的正确性。

3.移位寄存器(P57)

移位寄存器一种能寄存二进制代码,并能在时钟控制下对代码进行右移或左移的同步时序电路。计算机执行四则运算和逻辑移位等指令少不

了移位寄存器,此外,移位寄存器还可用于计算机的串行传输口的串并行信息转换电路。

1)集成移位寄存器波形仿真

a)74LS95(4位)并/串输入,并行输出,双向移位(P59)

b)74LS165(8位)并行输入,串行输出,(TTL-P212)

74LS165图、释(TTL手册-P212)

2)用JK触发器设计一个4位串行输入,并行输出右移寄存器,

针对所设计电路建立相应的波形仿真文件,进行波形仿真,器件编程,验证所设计电路的正确性。

3)用JK触发器设计4位并行输入,串行输出右移寄存器

对所设计的4位右移寄存器建立相应波形仿真文件,进行波形仿真。

4.自循环寄存器

(1)用D触发器DFF (或74LS74)构成一个四位自循环寄存器。

方法是第一级的 Q端接第二级的 D端,依次类推,最后第四级

的Q端接第一级的D端。四个D触发器的CLK端连接在一起,然

后接单脉冲时钟。

(2)对设计的电路建立相应的波形仿真文件,进行波形仿真。

将触发器Q0置1(即PRN0输入一个负脉冲), Q1、Q2、Q3清0(即CLR1、CLR2、CLR3输入一个负脉冲)。

(3)进行器件编程(定义自循环寄存器的输入/输出引脚号)。

(4)连线验证所设计电路的正确性

预置初始状态(与波形仿真相同),自循环寄存器的PRN i和CLR i 端连接到开关的电平输出插空,输入端CLK引脚连接到实验系统

的单脉冲输出插孔,输出端Q0、Q1、Q2、Q3连接到LED显示灯。由

时钟CLK输入端输入单脉冲,观察并记录Q0、Q1、Q2、Q3的状态变

化。

5.同步计数器P65

所谓同步计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻所有触发器同时翻转并产生进位信号。

(1)用74LS191构成一个2位十六进制计数器,并进行波形仿真。

(2)用74LS160构成一个2位BCD码计数器,并进行波形仿真。

6. 节拍电位发生器FD125

节拍发生器用来产生计算机工作所需的节拍电位、节拍脉冲。节拍发生器常分为移位型和计数型。

(1)设计移位型节拍发生器(74194,DFF)

移位型节拍发生器采用移位寄存器结构,它产生的节拍各数不受限制,使用比较灵活。图是一个由四位移位寄存器集成电路74LS194和一个D触发器构成的节拍发生器,可产生W0~W4五个节拍电位。

(2)设计计数型节拍发生器FD126

计数型节拍发生器由计数器和译码器构成,n位计数器能产生2n个节拍。图是一个在2位计数器基础上构成的节拍发生器,能产生W1~W4四个节拍电位和M1~M4四个与主脉冲CLK同步的节拍脉冲。

7.代码发生器FD129

代码发生器用于产生二进制代码序列信号,在数字通信领域中很有用。

(1)设计移位寄存器型代码发生器,并进行波形仿真。

(2)设计计数器型代码发生器,并进行波形仿真。

实验四基于VHDL的基本逻辑电路设计

(一)组合逻辑电路

用VHDL语言编写实现下列器件功能的程序并进行编译、波形仿真。

(1)二输入与非门

(2)三态门电路与总线缓冲器

(3)编码器/译码器,BCD-7段LED译码器,

数码管显示模块(⑧):该模块设计了6个共阴七段数码管,数码管段选线高电平有效,数码管位选线高电平有效,能够完成大多数实验的需求;

(4)数据选择器,设计一个1:4数据分配器

(5)加法器

(6)奇偶校验电路

(7)数字比较器,设计4位二进制数字比较器

设计并实现4位二进制数字比较器

比较A3A2A1A0和B3B2B1B0两个2位数:

当A3A2A1A0= B3B2B1B0时,电路输出端E=1,其它情况时E=0;

当 A3A2A1A0> B3B2B1B0时,电路输出端L=1, 其它情况时L=0;

当A3A2A1A0< B3B2B1B0时,电路输出端S=1, 其它情况时S=0;

(二)时序电路设计

用VHDL语言编写实现下列器件功能的程序并进行编译、波形仿真。

(1)触发器和锁存器:D触发器设计

(2)计数器,设计一位十进制计数器(BCD码计数器)

范例见附件六进制计数器

fpga数字钟课程设计报告

f p g a数字钟课程设计报告 Prepared on 24 November 2020

课程设计报告 设计题目:基于FPGA的数字钟设计 班级:电子信息工程1301 姓名:王一丁 指导教师:李世平 设计时间:2016年1月 摘要 EDA(Electronic Design Automation)电子设计自动化,是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成软件方式设计得电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。本次课程设计利用Quartus II 为设计软件,VHDL为硬件描述语言,结合所学知识设计一个多功能时钟,具有显示年、月、日、时、分、秒显示,计时,整点报时,设定时间等功能。利用硬件描述语言VHDL 对设计系统的各个子模块进行逻辑描述,采用模块化的思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑综合优化、逻辑仿真、最终完成本次课程设计的任务。 关键词:EDA VHDL语言数字钟 目录 摘要 1 课程设计目的 2 课程设计内容及要求

设计任务 设计要求 3 VHDL程序设计 方案论证 系统结构框图 设计思路与方法 状态控制模块 时分秒模块 年月日模块 显示模块 扬声器与闹钟模块 RTL整体电路 4 系统仿真与分析 5 课程设计总结,包括.收获、体会和建议 6 参考文献 1 课程设计目的 (1)通过设计数字钟熟练掌握EDA软件(QUARTUS II)的使用方法,熟练进行设计、编译,为以后实际工程问题打下设计基础。 (2)熟悉VHDL 硬件描述语言,提升分析、寻找和排除电子设计中常见故障的能力。 (3)通过课程设计,锻炼书写有理论根据的、实事求是的、文理通顺的课程设计报告。

数字电子系统设计报告模板

宁波工程学院 数字电子系统设计报告 设计题目: 学院名称:电子与信息工程学院 专业班级:电科12-X 学生姓名:XXX 学号:13401090XXX 指导教师:苏树兵 起讫时间:2016年06月20日至2016年06月29日

目录第一章设计任务 1.1 基本要求 1.2 发挥部分 第二章整体方案设计 2.1 基本原理及整体系统框图 2.2 算法设计 第三章硬件电路设计(按模块)3.1 XX电路设计(有几个写几个) 3.2 整体电路图 3.3 整机元件清单 第四章系统软件设计 4.1 主程序流程图 4.2 子程序流程图(有几个写几个) 第五章系统测试与结果分析 5.1 XX电路的调测 5.2 整体指标测试(有数据的需要附上)5.3 结果分析 第六章设计小结 6.1 设计任务完成情况 6.2 问题及改进 6.3心得体会 第七章任务分配及自评分 附录1 系统程序 附录2 实物图 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

数字电子钟课程设计实验报告

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计任务书2016/2017 学年第一学期 学生姓名:张涛学号: 李子鹏学号: 课程设计题目:数字电子钟的设计 起迄日期:2017年1月4日~2017年7月10日 课程设计地点:科学楼 指导教师:姚爱琴 2017年月日 课程设计任务书

中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计开题报告2016/2017 学年第一学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号:

指导教师:姚爱琴 2017 年 1 月 6 日 中北大学 信息与通信工程学院 通信工程专业 《电子线路及系统》课程设计说明书2016/2017 学年第二学期 题目:数字电子钟的设计 学生姓名:张涛学号: 李子鹏学号: 指导教师:姚爱琴 2017 年月日

目录 1 引言 (6) 2 数字电子钟设计方案 (6) 2.1 数字计时器的设计思想 (6) 2.2数字电路设计及元器件参数选择 (6) 2.2.2 时、分、秒计数器 (7) 2.2.3 计数显示电路 (8) 2.2.5 整点报时电路 (10) 2.2.6 总体电路 (10) 2.3 安装与调试 (11) 2.3.1 数字电子钟PCB图 (11) 3 设计单元原理说明 (11) 3.1 555定时器原理 (12) 3.2 计数器原理 (12) 3.3 译码和数码显示电路原理 (12) 3.4 校时电路原理 (12) 4 心得与体会 (12) 1 引言 数字钟是一种用数字电子技术实现时,分,秒计时的装置,具有较高的准确性和直观性等各方面的优势,而得到广泛的应用。此次设计数字电子钟是为了了解数字钟的原理,在设计数字电子钟的过程中,用数字电子技术的理论和制作实践相结合,进一步加深数字电子技术课程知识的理解和应用,同时学会使用Multisim电子设计软件。 2数字电子钟设计方案 2.1 数字计时器的设计思想 要想构成数字钟,首先应选择一个脉冲源——能自动地产生稳定的标准时间脉冲信号。而脉冲源产生的脉冲信号地频率较高,因此,需要进行分频,使得高频脉冲信号变成适合于计时的低频脉冲信号,即“秒脉冲信号”(频率为1Hz)。经过分频器输出的秒脉冲信号到计数器中进行计数。由于计时的规律是:60秒=1分,60分=1小时,24小时=1天,就需要分别设计60进制,24进制计数器,并发出驱动信号。各计数器输出信号经译码器、驱动器到数字显示器,是“时”、“分”、“秒”得以数字显示出来。 值得注意的是:任何记时装置都有误差,因此应考虑校准时间电路。校时电路一般

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

数字时钟课程设计方案设计方案

课程设计题目名称:数字时钟 专业名称:电气工程及其自动化班级: ******** 学号: *******8 学生姓名: ******* 任课教师: *******

《电子技术课程设计》任务书

2.对课程设计成果的要求〔包括图表(或实物)等硬件要求〕:设计电路,安装调试或仿真,分析实验结果,并写出设计说明书,语言流畅简洁,文字不得少于3500字。要求图纸布局合理,符合工程要求,使用Protel软件绘出原理图(SCH)和印制电路板(PCB),器件的选择要有计算依据。 3.主要参考文献:⑴《电子技术课程设计指导》彭介华编,高等教育出版社,1997年10月 ⑵《数字电子技术》康华光编著高等教育出版社, 2001年 要求按国标GB 7714—87《文后参考文献著录规则》书写。 4.课程设计工作进度计划: 序号起迄日期工作内容 初步设想和资料查询,原理图的绘画 1 2015.11.18-2015.12.21 仿真调试,元件参数测定,实物的拼接与测试 2 2015.12.21-2016.1.8 叙写设计报告,总结本次设计,论文提交 3 2016.1.8-2016.1.18 主指导教师日期:年月日

摘要 数字时钟已成为人们日常生活中必不可少的必需品,广泛于个人家庭以及办公室等公共场所,给人们的生活、学习、工作、娱乐带来了极大的方便。并且数字时钟具有走时准确、性能稳定、携带方便等优点,它还用于计时、自动报时及自动控制等各个领域。报告围绕此次数字钟的设计进行介绍、总结,包含了设计的步骤,前期的准备,装配的过程。在实装时,采用了74LS90进行计数,用CD4060产生秒脉冲,CD4511进行数码管转换显示,还要考虑电路的校时、校分,每块芯片各设计为几进制等等,最后实现了数字钟设计所要求的各项功能:时钟显示功能;快速校准时间的功能。 关键字:数字时钟校时CD4511

大连理工大学数字电路课程设计报告:多功能数字时钟设计

大连理工大学本科实验报告题目:多功能数字时钟设计 课程名称:数字电路与系统课程设计 学院(系):信息与通信工程学院 专业:电子信息工程 班级: 学生姓名: 学号: 完成日期:2014年7月16日 2014 年7 月16 日

题目:多功能数字时钟设计 1 设计要求 1) 具有“时”、“分”、“秒”及“模式”的十进制数字显示功能; 2) 具有手动校时、校分功能,并能快速调节、一键复位(复位时间12时00分00秒); 3) 具有整点报时功能,从00分00秒起,亮灯十秒钟; 4) 具有秒表功能(精确至百分之一秒),具有开关键,可暂停、可一键清零; 5) 具有闹钟功能,手动设置时间,并可快速调节,具有开关键,可一键复位(复位时间12时00分00秒),闹钟时间到亮灯十秒钟进行提醒; 6) 具有倒计时功能(精确至百分之一秒),可手动设置倒计时时间,若无输入,系统默认60秒倒计时,且具有开关键,计时时间到亮灯十秒钟进行提醒,可一键复位(复位时间默认60秒)。 2 设计分析及系统方案设计 2.1 模式选择模块:按键一进行模式选择,并利用数码管显示出当前模式。模式一:时钟显示功能;模式二:时钟调节功能;模式三:闹钟功能;模式四:秒表功能;模式五:倒计时功能。 2.2 数字钟的基本功能部分:包括时、分、秒的显示,手动调时,以及整点报时部分。基本模块是由振荡器、分频器、计数器、译码器、显示器等几部分组成。利用DE2硬件中提供的50MHZ晶振,经过分频得到周期为1s的时钟脉冲。将该信号送入计数器进行计算,并把累加结果以“时”“分”“秒”的形式通过译码器由数码管显示出来。 具有复位按键1,在时钟模式下按下复位键后对时钟进行复位,复位时间12时00分00秒。 进入手动调时功能时,通过按键调节时间,每按下依次按键2,时钟时针加一,按下按键2一秒内未松手,时钟时针每秒钟加十;按键1对分针进行控制,原理与时针相同并通过译码器由七位数码管显示。 从00分00秒开始,数字钟进入整点报时功能(本设计中以一个LED灯代替蜂鸣器,进行报时),亮灯10秒钟进行提示。 2.3多功能数字钟的秒表功能部分:计时范围从00分00.00秒至59分59.99秒。可由复位键0异步清零,并由开关1控制计时开始与停止。 将DE2硬件中的50MHZ晶振经过分频获得周期为0.01秒的时钟脉冲,将信号送入计数器进行计算,并把累计结果通过译码器由七位数码管显示 2.4多功能数字钟的闹钟功能部分:进入闹钟功能模式后,通过按键2(设定小时)和按键1(设定分钟)设定闹钟时间,当按下按键一秒内未松手时,可进行快速设定时间。当时钟进入闹钟设定的时间(判断时钟的时信号时针,分针分别与闹钟设定的时信号时针、分针是否相等),则以LED灯连续亮10秒钟进行提示,并由开关0控制闹钟的开和关。 2.5 多功能数字钟的倒计时功能部分:可通过按键3(设定分针)和按键2(设定秒针)设定倒计时开始,当按下按键一秒内未松手时,可进行快速设定时间。当没有手动时间设定时,系统默认为60秒倒计时。倒计时的时钟与数字钟的时钟相同,每迎到一个1s时钟上升

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电子时钟课程设计55026

. 单片机课程设计题目:电子时钟 班级: 姓名: 学号: 指导教师: 设计时间:

.

摘要 针对数字时钟的问题,利用8051单片机,proteus软件,vw(伟福)等软件,运用单片机中定时计数器T0,中断系统以及按键的控制实现了电子时钟的设计。设计的电子时钟通过数码管显示,并能通过按键的设计实现小时与分钟的调整。时间的启动与暂停等等。 关键字:数字时钟;单片机;定时计数器 .

1 引言 时钟,自他发明的那天起,就成为人类的朋友,但随着时间的推移,科学技术不断的发展,人们对时间计量的进度要求越来越高,应用越来越广。怎样让时钟更好地为人类服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。 现金,高精度的计时工具大多数都使用了石英晶体振荡器,由于电子钟,石英表,石英钟都使用了石英技术,因此走时精度高,稳定性好,使用方便,不需要经常调校,数字式电子钟用集成电路计时时,译码代替机械式传动,用LED显示器代替指针显示器,减小了计时误差,这种表具有时、分、秒显示的功能,还可以进行时、分的校对,片选的灵活性好。 时钟电路在计算机系统中起着非常重要的作用,是保证系统正常工作的基础。在一个单片机应用系统中,时钟有两方面的含义:一是指为保障系统正常工作的基准震荡定时信号,主要由晶振和外围电路组成,晶振频率的大小决定了单片机系统工作的快慢;二是指系统的标准定时时钟,及定时时间,它通常有两种方法实现:一是软件实现,即用单片机内部的可编程定时/计数器来实现,但误差很大,主要用在对时间精度要起不高的场合;二是用专门的时钟芯片实现,在对时间精度要求很高的情况下,通常采用这种方法。本文主要介绍用单片机内部的定时计数器来实现电子时钟的方法,以单片机为核心,辅以必要电路,构成了一个单片机电子时钟。 单片机应用系统由硬件系统和软件系统两部分组成。硬件系统是指单片机以及扩展的存储器、I\O接口、外围扩展的功能芯片以及接口电路。软件系统包括监控程序和各种应用程序。 在单片机应用系统中,单片机是整个系统的核心,对整个系统的信息输入、处理、信息输出进行控制。与单片机配套的有相应的复位电路、时钟电路以及扩展的存储器和I\O接口,使单片机应用系统能够运行。 在一个单片机应用系统中,往往都会输入信息和显示信息,这就涉及键盘和显示器。在单片机应用系统中,一般都根据系统的要求配置相应的键盘和显示器。配置键盘和显示器一般都没有统一的规定,有的系统功能复杂,需输入的信息和显示的信息量大,配置的键盘和显示器功能相对强大,而有些系统输入/输出的信息少,这时可能用几个按键和几个LED指示灯就可以进行处理了。在单片机应用系统在中配置的键盘可以是独立键盘,也可能是矩阵键盘。显示器可以是LED指示灯,也可以是LED数码管,也可 .

数字时钟课程设计报告

《电子线路课程设计报告》 系另 1」: 机电与自动化学院 专业班级:电气及自动化技术1001 学生姓名:陈星涯 指导教师:梁宗善 i=r (课程设计时 间: 2012年1月3日——2012年1月13日) 华中科技大学武昌分校 1.课程设计目的................................................. 3页 2.课程设计题目描述和要求....................................... 3页 2.1课程设计题目............................................. 3页

2.2课程设计要求............................................. 3页 3. ......................................................................................................... 比较和选定设计的系统方案.................................................... 4页 3.1数字钟的构成............................................. 4页 4.单元电路设计及工作原理....................................... 5页 4.1时基电路................................................. 5页 a. 多谐振荡器的工作原理................................... 5页 4.2计数器................................................... 7页 a.中规模计数器组件介绍.................................. 7页 b.60 进制计数器 .......................................... 8页 C.12 翻1计数器........................................... 9页 4.3译码器................................................... 10页 4.4显示器................................................... 10页 4.5校时电路................................................. 11页 4.6定时控制电路............................................. 12页 4.7仿广播电台正点报时电路................................... 13页 5.调试过程及分析............................................... 14页 5.1显示器故障排查........................................... 14页 5.2计数器调试及分析......................................... 15页 5.3校时电路的调试........................................... 16页 5.4增加抗干扰电路........................................... 16页 5.5闹时电路的调试........................................... 17页 5.6仿广播电台整点报时电路调试............................... 17页 6.课程设计总结................................................. 17页 7.参考文献..................................................... 19页 8.附件一:电子时钟主体电路电路图............................... 20页 9.附件二:扩展电路电路图....................................... 21页 10.附件三:系统所需元器件清单 ................................ 22页 11.课程设计成绩.............................................. 23页 一、设计任务与目的 数字时钟是一种利用数字电路技术实现时、分、秒计时的装置,与传统的

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

电子系统设计报告

课程设计实践报告 一、课程设计的性质、目的与作用 本次电子系统设计实践课程参照全国大学生电子设计模式,要求学生综合利用所学的有关知识,在教师的指导下,分析和熟悉已给题目,然后设计系统方案、画原理图及PCB、软件编程,并做出课程设计报告。因此,在设计中,要求学生应该全面考虑各个设计环节以及它们之间的相互联系,在设计思路上不框定和约束同学们的思维,同学们可以发挥自己的创造性,有所发挥,并力求设计方案凝练可行、思路独特、效果良好。 本课程设计的目的是为了让学生能够全面了解电子电路应用系统的整个设计过程,逐步掌握系统开发的以下相关技术: (1)熟悉系统设计概念; (2)利用所学数电、模拟电路知识,设计电路图; (3)利用PROTEL软件画原理图及PCB; (4)熟悉系统项目设计报告填写知识; (5)培养团队合作意识。 通过本课程设计,有助于学生更好地了解整个课程的知识体系,锻炼学生实际设计能力、分析和思考能力,使其理论与实践相结合,从而为后续课程的学习、毕业设计环节以及将来的实际工作打好坚实的基础。 二、课程设计的具体内容 电子系统设计实践课程就是锻炼学生系统设计、分析和思考能力,全面运用课程所学知识,发挥自己的创造性,全面提高系统及电路设计、原理图及PCB 绘画等硬件水平和实际应用能力,从而体现出电子系统设计的真谛。下面是各个设计阶段的具体内容。 1.系统方案认识 根据所设定的题目,能够给出系统设计方案与思路

题目:信号发生器产生电路,请设计一个能产生正弦波、方波及三角波电路,并制作原理图,然后阐述其原理。 基本原理: 系统框图如图1所示。 图1 低频信号发生器系统框图 低频信号发生器系统主要由CPU、D/A转换电路、基准电压电路、电流/电 压转换电路、按键和波形指示电路、电源等电路组成。 其工作原理为当分别按下四个按键中的任一个按键就会分别出现方波、锯齿 波、三角波、正弦波,并且有四个发光二极管分别作为不同的波形指示灯。2、各部分电路原理 (1)DAC0832芯片原理 ①管脚功能介绍(如图5所示) 图5 DAC0832管脚图 1) DI7~DI0:8位的数据输入端,DI7为最高位。

(完整版)数字电路课程设计--数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“ 时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24 小时,显示满刻度为23 时59 分59 秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时” 、“分”、“秒” 的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555 震荡器,74LS90 及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。此数字钟能显示“时、分、秒”的功能,它的计时周期是24 小时,最大能显示23 时59 分59 秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 2)系统框图

系统方框图 1 (3)系统组成。 1.秒发生器:由555 芯片和RC 组成的多谐振荡器,其555 上3 的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03 中的4 个与非门和相应的开关和电阻构成。 3.计数器:由74LS90 中的与非门、JK 触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90 与74LS08 相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD 锁存译码器4511,接受74LS90 来的信号,转换为7 段的二进制数。

5.显示模块:由7 段数码管来起到显示作用,通过接受CD4511 的信号。本次选用的是共阴型的CD4511 。 二、各部分电路原理。 1.秒发生器:555 电路内部(图2-1)由运放和RS 触发器共同组成,其工作原理由8处接VCC ,C1 处当 Uco=2/3Vcc>u11 时运放输出为1,同理C2 也一样。最终如图3 接口就输出矩形波,而形成的秒脉冲。 图 2-2 555 功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H 到L 或者从L 到H 都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字时钟设计报告同济大学

设计报告内容: 1/系统的设计任务 2/设计方案 3/方案中各部分单元的设计、参数计算和器件选择 4/画出符合设计要求的完整系统电路图。 5/打印并在规定时间内上交设计报告(准备进行答辩,并在计算机中演示设计程序) 设计题目数字电子钟 1、设计任务: 必备功能: 1.设计一个高精度、高稳定度的时钟信号源。 2.用秒脉冲作信号源,构成数字钟,显示秒、分、时 3.具有对时功能,即时间可以快速预置。 附加功能: 具有整点提示功能,即每到整点发出蜂鸣声。 2、供选方案: 1)时钟信号源的实现: 时钟信号源是时钟类项目的心脏,他的精确度直接影响到整个项目的性能 方案A用石英晶振电路 晶振是石英振荡器的简称,英文名Crystal,是一种机电器件,是用电损耗很小的石英晶体经精密切割磨削并镀上电极焊上引线做成。它是时钟电路中最重要的部件,它的作用是向电子电路各部分提供基准频率。 选择晶振的主要性能指标有:调整频差、温度频差或总频差、谐振电阻或负载谐振电阻,还有机械性能等。 除了石英晶体外,晶振器电路还需要配置适当的电阻和晶振负载电容。和晶振串联电阻的作用是防止晶振过分驱动,过分驱动会逐渐损耗晶振的接触电镀,引起频率上升,使晶振失效。与晶振并联电阻是反馈电阻,保证反相器工作在适当工作区,如果去掉会产生停振。晶振负载电容能使芯片更容易起振,振荡更稳定。其电容值一般在 20pf,30pf,50pf,100pf 中选择。

方案B 555多谐振荡器

网上查阅的555多谐振荡器电路: _ _ 5V 优点是起振容易,振荡周期调节范围广,缺点是频率稳定性差,精度低,所以在本试验中不宜使用。 2)分频器的实现 方案A采用专用分频器 如二分频,六分频,十二分频,1/60分频器,常用集成电路有74LS92 74LS56,74LS57等。 方案B用各种进制计数器构成分频器 用异步十进制计数器74LS90同步十进制计数器74LS290双时钟同步加减计数器74LS192都可以很容易构成十进制,十二进制,二十四进制,六十进制分频器。另外,在对时钟进行2n分频时,CD4020,CD4040,CD4060也都能实现各种级数的二进制分频器。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

电子系统设计课程设计

《电子系统设计课程设计》项目设计书 项目名称打地鼠 小组成员1 小组成员2 小组成员3 专业 任课教师 成都理工大学信科院电子系 2013年6月

1项目名称,并简要说明应用背景。 项目名称:打地鼠 应用背景:打地鼠游戏是人们生活中常见的一种休闲小游戏。此游戏玩法简单,考验人们的反应速度。开发者可以根据人们兴趣开发出具有多功能的打地鼠游戏,比如升级、道具、过关等,增加游戏的趣味性。 2项目设计需求(包括功能描述和性能设计指标) 功能描述: 1.启动系统,液晶屏第一排随机显示一个1-9的数字,显示地鼠(?)或地雷(*),中间显示剩余时间,右边显示分数;液晶屏第二排显示游戏的英文名称——打地鼠。 2.开始游戏后,在背景音乐伴随下显示“Ready Go!!!”,之后正式进入游戏游戏历时2min,随着时间的增加,数字显示速度加快,游戏中课随时按K10键暂停,再次按则恢复游戏。 3.进入游戏界面后,随机产生地鼠和地雷(地雷产生的概率为10%),但随着时间的增加,地雷出现的概率会增加,数字更新的速度也越快。按键分别对应独立键盘的9个按键,按下某个键即代表击打相应位置。 4.若击中地鼠:正常情况下分数加1,若在较短时间(实际为地鼠产生到消失的前一半时间内)击中,则“快速反应,双倍加分”,即分数加2。若击中地雷,则分数减1,若未按下相应键,则分数加1。

5.游戏结束,背景音乐停止,保留最高分,分数和时间在按复位后刷新。 性能设计指标:能通过复位,玩家可以持续玩游戏。自动保存和人为清除游戏数据。 3设计方案 3.1 系统设计框图及原理阐述 设计框图: 原理阐述: 1)复位电路 复位条件:89C52单片机复位需要一个长达24个时钟周期的高电平才能复位,复位的作用就是使程序的指针指向地址0,每个程序都是从地址0开始执行,所以复位的概念就是让程序从头开始执行。

数字时钟课程设计报告

数字电子技术课程设计报告 题目多功能数字钟 学院机械工程学院 专业测控技术与仪器 班级 学生姓名 学号 2014年1 月6 日至1 月10日共1 周 指导教师(签字)

数字时钟 摘要 数字电子钟是一种用数字显示秒﹑分﹑时的记时装置,与传统的机械时钟相比,它一般具有走时准确﹑显示直观﹑无机械传动装置等优点,因而得到了广泛的应用:小到人们的日常生活中的电子手表、电子闹钟,大到车站﹑码头﹑机场等公共场所的大型数字显电子钟。 本课程设计是要通过简单的逻辑芯片实现数字电子钟。要点在于用555芯片连接输出为一秒的多谐振荡器用于时钟的秒脉冲,用74LS160(10进制计数器)、74LS00(与非门芯片)等连接成60和24进制的计数器,再通过数码管显示,构成简单数字时钟。 关键词数字时钟、计数器、555芯片、分频器 技术要求 1、能显示24小时制的时、分、秒(23小时59分59秒); 2、具有校时功能,可以分别对时或分进行单独校时,使其校正到标准时间; 3、用555定时器组成的多谐振荡器产生时钟脉冲,脉冲频率稳定在1KHz; 4、用三级74LS90组成千分频器,用千分频器将555多谐振荡器产生的1KHz时 钟脉冲降频到1Hz。 5、具有闹钟功能。

一、系统综述 数字时钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时。利用60进制和24进制递增计数器子电路构成数字时钟系统,由2个60进制同步递增计数器完成秒、分计数,由1个24进制同步递增计数器完成小时计数。秒、分、时计数器分别都以两个74LS160同步级联而成,秒、分、时计数器之间采用异步级联的方式。 图1. 设计框架图

数字电路与系统设计实验报告

数字电路与系统设计实验报告 学院: 班级: 姓名:

实验一基本逻辑门电路实验 一、实验目的 1、掌握TTL与非门、与或非门和异或门输入与输出之间的逻辑关系。 2、熟悉TTL中、小规模集成电路的外型、管脚和使用方法。 二、实验设备 1、二输入四与非门74LS00 1片 2、二输入四或非门74LS02 1片 3、二输入四异或门74LS86 1片 三、实验内容 1、测试二输入四与非门74LS00一个与非门的输入和输出之间的逻辑关系。 2、测试二输入四或非门74LS02一个或非门的输入和输出之间的逻辑关系。 3、测试二输入四异或门74LS86一个异或门的输入和输出之间的逻辑关系。 四、实验方法 1、将器件的引脚7与实验台的“地(GND)”连接,将器件的引脚14与实验台的十5V连接。 2、用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3、将被测器件的输出引脚与实验台上的电平指示灯(LED)连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为1)。 五、实验过程 1、测试74LS00逻辑关系 (1)接线图(图中K1、K2接电平开关输出端,LED0是电平指示灯) (2)真值表 2、测试74LS02逻辑关系

(1)接线图 (2)真值表 3、测试74LS86逻辑关系接线图 (1)接线图 (2)真值表 六、实验结论与体会 实验是要求实践能力的。在做实验的整个过程中,我们首先要学会独立思考,出现问题按照老师所给的步骤逐步检查,一般会检查处问题所在。实在检查不出来,可以请老师和同学帮忙。

实验二逻辑门控制电路实验 一、实验目的 1、掌握基本逻辑门的功能及验证方法。 2、掌握逻辑门多余输入端的处理方法。 3、学习分析基本的逻辑门电路的工作原理。 二、实验设备 1、基于CPLD的数字电路实验系统。 2、计算机。 三、实验内容 1、用与非门和异或门安装给定的电路。 2、检验它的真值表,说明其功能。 四、实验方法 按电路图在Quartus II上搭建电路,编译,下载到实验板上进行验证。 五、实验过程 1、用3个三输入端与非门IC芯片74LS10安装如图所示的电路。 从实验台上的时钟脉冲输出端口选择两个不同频率(约7khz和14khz)的脉冲信号分别加到X0和X1端。对应B和S端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及/B)的功能。 2、实验得真值表

相关主题
文本预览
相关文档 最新文档