当前位置:文档之家› 计算机组成原理第四章作业标准答案(终板)

计算机组成原理第四章作业标准答案(终板)

计算机组成原理第四章作业标准答案(终板)
计算机组成原理第四章作业标准答案(终板)

第四章作业答案

4.1 解释概念:主存、辅存,Cache,RAM,SRAM, DRAM,ROM, PROM ,EPR OM ,EEPROM CDROM, Flash Memory.

解:1主存:主存又称为内存,直接与CPU交换信息。

2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。

3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。

4 RAM;RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。

5SRAM:是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。

6 DRAM是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。

7ROM:是只读存储器,在程序执行过程中只能读出信息,不能写入信息。

8PROM:是可一次性编程的只读存储器。

9 EPROM是可擦洗的只读存储器,可多次编程。

10 EEPROM: 即电可改写型只读存储器,可多次编程。

11CDROM即只读型光盘存储器。

12 FlashMemory 即可擦写、非易失性的存储器。

4.3 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次?

答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。

Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。

主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。

综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。

主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

4. 6. 某机字长为32位,其存储容量是64KB ,按字编址其寻址范围是多少?若主存以字节编址,试画出主存字地址和字节地址的分配情况。 解:存储容量是64KB 时,

(1)按字节编址的寻址范围就是64KB.

(2)按字寻址范围 = 64K ×8 / 32=16K 字 按字节编址时的主存地址分配图如下:

字地址 字节地址

讨论:

1、 在按字节编址的前提下,按字寻址时,地址的位数仍为16位,即地址编码范围仍为0~64K-1,但字(数)空间为16K 字,字地址不连续。 2、 字寻址的单位为:字,不是B(字节)

4.8. 试比较静态RAM 和动态R AM 。 答:静态RAM 和动态RAM 的比较见下表: 特性 SRA M DRAM 存储信息 触发器 电容 破坏性读出 非 是 需要刷新 不要 需要 送行列地址

同时送 分两次送 运行速度 快 慢 集成度 低 高 发热量 大 小 存储成本 高 低 功耗 高 低 可靠性 高 低 可用性

使用方便

不方便

0 4

16K ……

65528 65532

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

天大2016年12月《计算机组成原理》期末大作业考核要求

计算机组成原理 要求: 1.独立完成,作答时要按照模版信息 ....填写完整,写明题型、题号; 2.作答方式:手写作答或电脑录入,使用学院统一模版(模版详见附件); 3.提交方式:以下两种方式任选其一, 1)手写作答的同学可以将作业以图片形式打包压缩上传; 2)提交电子文档的同学可以将作业以word文档格式上传; 4.上传文件命名为“中心-学号-姓名-科目.rar”或“中心-学号-姓名-科 目.doc”; 5.文件容量大小:不得超过10MB。 请在以下几组题目中,任选一组题目作答,满分100分。 第一组: 一、论述题(20分) 1、简述:一条指令通常由哪些部分组成?简述各部分的功能。 二、分析题(30分) 1、指令格式结构如下所示,使分析指令格式以及寻址方式特点。 15 10 9 5 4 0 1. 有一台磁盘机器,平均寻道时间为30ms,平均旋转等待时间为120ms,数据传输速率为500B/ms,磁盘机桑存放着1000件每件3000B的数据。现欲把一件数据取走,更新后放回原地,假设一次取出或写入所需时间为:平均寻道时间+平均等待时间+数据传送时间。另外,使用CPU更新信息所需时间为4ms,并且更新时间同输入输出操作不相重叠。试问:(20分)(1)更新磁盘上全部数据需要多少时间? (2)若磁盘以及旋转速度和数据传输率都提高一倍,更新全部数据需要多少时间?2、有一个具有20位地址和32位字长的存储器,问:(30分) (1)该存储器能存储多少个字节的信息? (2)如果存储器由512K×8位SRAM芯片组成,需要多少芯片? (3)需要多少位地址作为芯片选择?

第二组: 一、论述题(20分) 1、解释术语:总线周期。 二、分析题(30分) 1、CPU结构图如下图所示,其中有一个累加寄存器AC,各部分之间的连线表示数据通路,剪头表示信息传送方向。 (1)标明图中四个存储器的名称。 (2)简述指令从主存取到控制器的数据通路。 (3)简述数据在运算器和主存之间进行存/取访问的数据通路。 三、计算题(共50分) 1、已知某磁盘存储器转速为2400转/分,每个记录面道数为200道,平均查找时间为60ms,每道存储容量为96Kbit,求磁盘的存取时间与数据传播率。(20分) 2、今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,近假设完成各部操作的时间依次为100ns,100ns,80ns,50ns。请问:(30分) (1)流水线的操作周期应设计为多少? (2)若相邻两条指令发生数据相关,并且在硬件上不采取措施,那么第二条指令推迟多少时间进行? (3)若果在硬件设计上加以改进,至少推迟多少时间?

计算机组成原理_第四版课后习题答案(完整版)[]

第一章 1.比较数字计算机和模拟计算机的特点 解:模拟计算机的特点:数值由连续量来表示,运算过程是连续的;数字计算机的特点:数值由数字量(离散量)来表示,运算按位进行。两者主要区别见 P1 表 1.1 。 2.数字计算机如何分类?分类的依据是什么? 解:分类:数字计算机分为专用计算机和通用计算机。通用计算机又分为巨型机、大型机、 中型机、小型机、微型机和单片机六类。分类依据:专用和通用是根据计算机的效率、速度、价格、运行的经济性和适应性来划分的。 通用机的分类依据主要是体积、简易性、功率损耗、性能指标、数据存储容量、 指令系统规模和机器价格等因素。 3.数字计算机有那些主要应用?(略) 4.冯 . 诺依曼型计算机的主要设计思想是什么?它包括哪些主要组成部分? 解:冯 . 诺依曼型计算机的主要设计思想是:存储程序和程序控制。存储程序:将解题的程序(指令序列)存放到存储器中;程序控制:控制器顺序执行存储的程序,按指令功能控制全机协调地完成运算任务。 主要组成部分有:控制器、运算器、存储器、输入设备、输出设备。 5.什么是存储容量?什么是单元地址?什么是数据字?什么是指令字? 解:存储容量:指存储器可以容纳的二进制信息的数量,通常用单位KB MB GB来度量,存储 容 量越大,表示计算机所能存储的信息量越多,反映了计算机存储空间的大小。单元地址:单元地址简称地址,在存储器中每个存储单元都有唯一的地址编号,称为单元地 址。 数据字:若某计算机字是运算操作的对象即代表要处理的数据,则称数据字。指令字:若某计算机字代表一条指令或指令的一部分,则称指令字。 6.什么是指令?什么是程序? 解:指令:计算机所执行的每一个基本的操作。程序:解算某一问题的一串指令序列称为该问题的计算程序,简称程序。 7.指令和数据均存放在内存中,计算机如何区分它们是指令还是数据? 解:一般来讲,在取指周期中从存储器读出的信息即指令信息;而在执行周期中从存储器中读出的信息即为数据信息。

计算机组成原理答案

第二章运算方法和运算器练习 一、填空题 1. 补码加减法中,(符号位)作为数的一部分参加运算,(符号位产生的进位)要丢掉。 2. 为判断溢出,可采用双符号位补码,此时正数的符号用(00)表示,负数的符号用(11)表示。 3. 采用双符号位的方法进行溢出检测时,若运算结果中两个符号位(不相同),则表明发生了溢出。若结果的符号位为(01),表示发生正溢出;若为(10),表示发生负溢出。 4. 采用单符号位进行溢出检测时,若加数与被加数符号相同,而运算结果的符号与操作数的符号(不一致),则表示溢出;当加数与被加数符号不同时,相加运算的结果(不会产生溢出)。 5. 利用数据的数值位最高位进位C和符号位进位Cf的状况来判断溢出,则其表达式为over=(C⊕Cf)。 6. 在减法运算中,正数减(负数)可能产生溢出,此时的溢出为(正)溢出;负数减(正数)可能产生溢出,此时的溢出为(负)溢出。 7. 补码一位乘法运算法则通过判断乘数最末位Yi和Yi-1的值决定下步操作,当 YiYi-1=(10)时,执行部分积加【-x】补,再右移一位;当YiYi-1=(01)时,执行部分积加 【x】补,再右移一位。 8. 浮点加减运算在(阶码运算溢出)情况下会发生溢出。 9. 原码一位乘法中,符号位与数值位(分开运算),运算结果的符号位等于(两操作数符号的异或值)。 10. 一个浮点数,当其补码尾数右移一位时,为使其值不变,阶码应该(加1)。 11. 左规的规则为:尾数(左移一位),阶码(减1)。 12. 右规的规则是:尾数(右移一位),阶码(加1)。 13. 影响进位加法器速度的关键因素是(进位信号的传递问题)。 14. 当运算结果的补码尾数部分不是(11.0×××××或00.1×××××)的形式时,则应进行规格化处理。当尾数符号位为(01)或(10)时,需要右规。 15. (进位信号的产生与传递逻辑)称为进位链。

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1CPU 有哪些功能?画出其结构框图并简要说明每个部件的作用。 解:CPU的主要功能是执行存放在主存储器中的程序即机器指令.CPU是由 控制器和运算器. ALU:实现算逻运算 寄存器:存放操作数 CU :发出各种操作命令序列的控制部件 中断系统:处理异常情况和特殊请求 8.2什么是指令周期?指令周期是否有一个固定值?为什么?解:指令周 期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高 CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长 度都是不一致的,也就是说指令周期对于不同的指令来说不是一个 固定值。

8.3画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令间址周期:取有效地址执行周期:取操作数(当指令为访存指令时)中断周期:保存程序断点 8.4设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“ LDA@”X(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单的机器中。 下面采用单总线形式连接各部件,框图如下:

MAR PC Bus CU IR SP AC 线 址 地 MDR 1) 图: MDR→AC 2)中断周期流程图如 下:

SP-1→SP 8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别?解:并行 性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能,只要在时间上存在 相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更能提高处理器速度,为什么?解:指令流水:指将一条指令的执行过程分为n 个操作时间大致相等的阶段,每个阶段由一个独立的功能部件来完成,这样n 个部件可以同时执行n 条指令的不同阶段,从而大大提高 CPU的吞吐率。 指令二级流水和四级流水示意图如下: (3)CPU 在什么条件、什么时候、以什么方式来响应中断

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

哈工大计算机组成大作业完整版

哈工大计算机组成大作业 哈工大计算机组成原理自主实验 计算机组成原理自主实验报告 第四章‐实验1 一个2114 存储芯片的实现 要求:外特性与2114 芯片一致(P77,图4.12),可以设计成为64*64 个存储单元的堆。 A0-A9:地址线 I/O:数据输入输出线 CS:片选信号 R/W:读写信号 VHDL代码: library IEEE;

use IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; entity shiyan41 is PORT(clk, we, cs,reset: in STD_LOGIC; data: inout STD_LOGIC_VECTOR(3 downto 0); adr: in STD_LOGIC_VECTOR(9 downto 0)); end shiyan41; architecture Behavioral of shiyan41 is typemem is array (63 downto 0) of STD_LOGIC_VECTOR(63 downto 0); signal data_in: STD_LOGIC_VECTOR(3 downto 0); signaldata_out: STD_LOGIC_VECTOR(3 downto 0); signalsram : mem; signalcs_s : std_logic; signalwe_s : std_logic; signaladdr_in_row: std_logic_vector(5 downto 0);

计算机组成原理答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下: 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下: 4.假设主脉冲源频率为10MHz,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解: 5.如果在一个CPU周期中要产生3个节拍脉冲;T l =200ns,T 2 =400ns, T 3 =200ns,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2 、T 3 的宽度为时钟周期或者是时钟周期的倍数即可。 所以取时钟源提供的时钟周期为200ns,即,其频率为5MHz.;由于要输

出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下: 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:80条指令,平均每条指令由4条微指令组成,其中有一条公用微指令,所以总微指令条数为80? (4-1)+1=241条微指令,每条微指令32位,所以控存容量为:241?32位 7.某ALU 器件是用模式控制码M S 3 S 2 S 1 C 来控制执行不同的算术运算和逻辑操作。下表列出各条指令所要求的模式控制码,其中y 为二进制变量,φ为0或l 任选。 试以指令码(A ,B ,H ,D ,E ,F ,G)为输入变量,写出控制参数M ,S 3,S 2,S l ,C 的逻辑表达式。 解:

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

[0013]计算机组成原理 答案

- 1 - 西南大学培训与继续教育学院课程考试试题卷 学期:2020年秋季 课程名称【编号】: 计算机组成原理 【0013】 A 卷 考试类别:大作业 满分:100 分 一、大作业题目 1. 已知:x= 0.1011,y = - 0.0101,求 :[ 21x]补,[ 41 x]补,[ - x ]补,[21y]补,[4 1 y]补,[ - y ]补 1. 解: [ x ]补du = 0.1011 , [ y ]补 = 1.1011 [1/2 x ]补 = 0.01011 , [1/2y]补 = 1.11011 [1/4 x ]补 = 0.001011 ,[ 1/4 y ]补 = 1.111011 [ - x ]补 = 1.0101 , [ - y ]补 =0.0101 2.指令有哪些寻址方式?设指令格式如下所示,其中OP 为操作码,试分析指令格式特点。 18 12 11 10 9 5 4 0 OP ---------- 源寄存器 目标寄存器 3.动态存储器为什么要刷新?刷新有哪些方法?完成由2K ×4位芯片构成4K ×8位存储器连接图。 动态MOS 存储单元存储信息的原理,是利用MOS 管栅极电容具有暂时存储信息的作用。但由于漏 电流的存在,栅极电容上存储的电荷不可能长久保持不变,因此为了及时补充漏掉的电荷,避免存储信息丢失,需要定时地给栅极电容补充电荷,通常把这种操作称作刷新或再生。 常用的刷新方式有三种,一种是集中式,另一种是分散式,第三种是异步式。 集中式刷新:在整个刷新间隔内,前一段时间重复进行读/写周期或维持周期,等到需要进行刷新操作时,便暂停读/写或维持周期,而逐行刷新整个存储器,它适用于高速存储器。 分散式刷新:把一个存储系统周期t c 分为两半,周期前半段时间t m 用来读/写操作或维持信息,周期后半段时间t r 作为刷新操作时间。这样,每经过128个系统周期时间,整个存储器便全部刷新一遍。 异步式刷新:前两种方式的结合 芯片数=总容量/容量=4k*8÷2k*4=4片。将每四块分为一组,形成32位的数据宽度,根据该储存容量大小一共需要16位地址线(可以根版据储存容量除以数据宽度来确定)。 将32K*8芯片组成128K*16的只读度器,所以首先位扩展将数据线8扩展到16,即D0~D15,然问后字 扩展32K 是15条地址线,128是17条地址线,所以要答用2/4译码器将地址线15扩展到17,需要用到的芯片是(128/32)*(16/8)=8,连接如图所示!红色为A0~A14的地址总线。 4. 今有4级流水线分别完成取值、指令译码并取数、运算、送结果四步操作,今假设完成各步操作的时间依次为100ns,100ns,80ns,50ns 。请问:(1)流水线的操作周期应设计为多少?(2)若相邻两条加减法指令发生数据相关(ADD :R1,R2,R3 ;R2+R3->R1与SUB :R4,R1,R5;R1-R5->R4),而且在硬件上不采取措施,那么第二条指令要推迟多少时间进行。(3)如果在硬件设计上加以改进,至少需推迟多少时间? 5.什么是中断?什么是多重中断?假定硬件原来的响应顺序为0→1→2,试设置中断屏蔽字,将中断优先级改为1→2→0。(注:中断允许用“0”表示,中断禁示“1”表示)。 二、大作业要求 大作业共需要完成三道题: 第1-2题选作一题,满分35分;

计算机组成原理课后答案

… 第一章计算机系统概论 什么是计算机系统、计算机硬件和计算机软件硬件和软件哪个更重要 计算机系统:计算机硬件、软件和数据通信设备的物理或逻辑的综合体 计算机硬件:计算机的物理实体 计算机软件:计算机运行所需的程序及相关资料 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要 如何理解计算机系统的层次结构 实际机器M1向上延伸构成了各级虚拟机器,机器M1内部也可向下延伸而形成下一级的微程序机器M0,硬件研究的主要对象归结为传统机器M1和微程序机器M0,软件研究对象主要是操作系统及以上的各级虚拟机 》 说明高级语言、汇编语言和机器语言的差别及其联系。 机器语言是可以直接在机器上执行的二进制语言 汇编语言用符号表示指令或数据所在存储单元的地址,使程序员可以不再使用繁杂而又易错的二进制代码来编写程序 高级语言对问题的描述十分接近人们的习惯,并且还具有较强的通用性 如何理解计算机组成和计算机体系结构 计算机体系结构是对程序员可见的计算机系统的属性 计算机组成对程序员透明,如何实现计算机体系结构所体现的属性 冯·诺依曼计算机的特点是什么 。 由运算器、控制器、存储器、输入设备、输出设备五大部件组成 指令和数据以同一形式(二进制形式)存于存储器中 指令由操作码、地址码两大部分组成 指令在存储器中顺序存放,通常自动顺序取出执行 以运算器为中心(原始冯氏机) 画出计算机硬件组成框图,说明各部件的作用及计算机硬件的主要技术指标。 计算机硬件各部件 运算器:ACC, MQ, ALU, X ' 控制器:CU, IR, PC 主存储器:M, MDR, MAR I/O设备:设备,接口 计算机技术指标: 机器字长:一次能处理数据的位数,与CPU的寄存器位数有关 存储容量:主存:存储单元个数×存储字长 运算速度:MIPS, CPI, FLOPS 解释概念 & 主机:计算机硬件的主体部分,由 CPU+MM(主存或内存)组成 CPU:中央处理器,是计算机硬件的核心部件,由运算器+控制器组成 主存:计算机中存放正在运行的程序和数据的存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成

计算机组成原理课后答案

第一章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解:P3 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的性质,地址码用来表示操 作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。 7. 解释下列概念: 主机、CPU、主存、存储单元、存储元件、存储基元、存储元、存储字、存储字长、存储容量、机器字长、指令字长。 解:P9-10 主机:是计算机硬件的主体部分,由CPU和主存储器MM合成为主机。 CPU:中央处理器,是计算机硬件的核心部件,由运算器和控制器组成;(早期的运算器和控制器不在同一芯片上,现在的CPU内除含有运算器和控制器外还集成了CACHE)。 主存:计算机中存放正在运行的程序和数据的存储器,为计算机的主要工作存储器,可随机存取;由存储体、各种逻辑部件及控制电路组成。 存储单元:可存放一个机器字并具有特定存储地址的存储单位。 存储元件:存储一位二进制信息的物理元件,是存储器中最小的存储单位,又叫存储基元或存储元,不能单独存取。 存储字:一个存储单元所存二进制代码的逻辑单位。 存储字长:一个存储单元所存二进制代码的位数。 存储容量:存储器中可存二进制代码的总量;(通常主、辅存容量分开描述)。 机器字长:指CPU一次能处理的二进制数据的位数,通常与CPU的寄存器位数有关。 指令字长:一条指令的二进制代码位数。 8. 解释下列英文缩写的中文含义: CPU、PC、IR、CU、ALU、ACC、MQ、X、MAR、MDR、I/O、MIPS、CPI、FLOPS 解:全面的回答应分英文全称、中文名、功能三部分。 CPU:Central Processing Unit,中央处理机(器),是计算机硬件的核心部件,主要由运算器和控制器组成。 PC:Program Counter,程序计数器,其功能是存放当前欲执行指令的地址,并可自动计数

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

计算机组成原理大作业定稿版

计算机组成原理大作业 HUA system office room 【HUA16H-TTMS2A-HUAS8Q8-HUAH1688】

计算机组成原理 大作业 院(系):物联网工程学院 专业: 计算机科学与技术 班级: 学号: 姓名: 摘要 1.计算机硬件系统:到目前为止,计算机仍沿用由冯.诺依曼首先提出的基于总线的计算机硬件系统。其基本设计思想为: a.以二进制形式表示指令和数据。 b.程序和数据事先存放在存储器中,计算机在工作时能够高速地从存储器中取出指令加以执行。 c.由运算器、控制器、存储器、输入设备和输出设备等五大部件组成计算机硬件系统。 2.计算机软件系统:所谓软件,就是为了管理、维护计算机以及为完成用户的某种特定任务而编写的各种程序的总和。计算机的工作就是运行程序,通过逐条的从存储器中取

出程序中的指令并执行指令所规定的操作而实现某种特定的功能。微型计算机的软件包括系统软件和用户(应用)软件。 关键词:计算机系统硬件存储器控制器运算器软件 目录 摘要 (2) 第一章总体设计 (4) 问题描述 (4) 实验环境 (4) 软件介绍 (4) 模块介绍 (4) 实验目的 (5) 实验内容 (5) 第二章原理图 (6) 第三章管脚分配 (7) 第四章微程序设计 (8) 1. alu_74181 (8)

2. romc (9) 第一章总体设计 问题描述 从两个reg_74244中分别取出两数经过总线,各自分别到达两个寄存器reg_74373,再由两个寄存器到达运算器alu_74181,在运算器里经过运算得出结果,结果再由总线传输进入另外的一个寄存器reg_74373,输出。 实验环境 软件介绍 ISE的全称为Integrated Software Environment,即“集成软件环境”,是Xilinx公司的硬件设计工具。它可以完成FPGA开发的全部流程,包括设计输入、仿真、综合、布局布线、生成BIT文件、配置以及在线调试等,功能非常强大。ISE除了功能完整,使用方便外,它的设计性能也非常好,拿ISE 9.x来说,其设计性能比其他解决方案平均快30%,它集成的时序收敛流程整合了增强性物理综合优化,提供最佳的时钟布局、更好的封装和时序收敛映射,从而获得更高的设计性能。 模块介绍 微程序控制器 微程序控制器是一种控制器,同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被

计算机组成原理第二版课后习题答案

第1章计算机系统概论 1. 什么是计算机系统、计算机硬件和计算机软件?硬件和软件哪个更重要? 解: 计算机系统:由计算机硬件系统和软件系统组成的综合体。 计算机硬件:指计算机中的电子线路和物理装置。 计算机软件:计算机运行所需的程序及相关资料。 硬件和软件在计算机系统中相互依存,缺一不可,因此同样重要。 2. 如何理解计算机的层次结构? 答:计算机硬件、系统软件和应用软件构成了计算机系统的三个层次结构。 (1)硬件系统是最内层的,它是整个计算机系统的基础和核心。 (2)系统软件在硬件之外,为用户提供一个基本操作界面。 (3)应用软件在最外层,为用户提供解决具体问题的应用系统界面。 通常将硬件系统之外的其余层称为虚拟机。各层次之间关系密切,上层是下层的扩展,下层是上层的基础,各层次的划分不是绝对的。 3. 说明高级语言、汇编语言和机器语言的差别及其联系。 答:机器语言是计算机硬件能够直接识别的语言,汇编语言是机器语

言的符号表示,高级语言是面向算法的语言。高级语言编写的程序(源程序)处于最高层,必须翻译成汇编语言,再由汇编程序汇编成机器语言(目标程序)之后才能被执行。 4. 如何理解计算机组成和计算机体系结构? 答:计算机体系结构是指那些能够被程序员所见到的计算机系统的属性,如指令系统、数据类型、寻址技术组成及I/O机理等。计算机组成是指如何实现计算机体系结构所体现的属性,包含对程序员透明的硬件细节,如组成计算机系统的各个功能部件的结构和功能,及相互连接方法等。 5. 冯?诺依曼计算机的特点是什么? 解:冯?诺依曼计算机的特点是:P8 ●计算机由运算器、控制器、存储器、输入设备、输出设备五大 部件组成; ●指令和数据以同同等地位存放于存储器内,并可以按地址访 问; ●指令和数据均用二进制表示; ●指令由操作码、地址码两大部分组成,操作码用来表示操作的 性质,地址码用来表示操作数在存储器中的位置; ●指令在存储器中顺序存放,通常自动顺序取出执行; ●机器以运算器为中心(原始冯?诺依曼机)。

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理课程设计

计算机组成原理课程设 计 WTD standardization office【WTD 5AB- WTDK 08- WTD 2C】

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部):计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩: 湖南工业大计算机学院 目录 摘要 交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流

量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉

计算机组成原理第四版课后题答案五,六章

第五章 1.请在括号内填入适当答案。在CPU中: (1) 保存当前正在执行的指令的寄存器是(指令寄存器IR); (2) 保存当前正要执行的指令地址的寄存器是(程序计数器PC); (3) 算术逻辑运算结果通常放在(通用寄存器)和(数据缓冲寄存器DR)。 2.参见下图(课本P166图5.15)的数据通路。画出存数指令"STA R1 ,(R2)"的指令周期 流程图,其含义是将寄存器R1的内容传送至(R2)为地址的主存单元中。标出各微操作信 号序列。 解:"STA R1 ,(R2)"指令是一条存数指令,其指令周期流程图如下图所示:

3.参见课本P166图5.15的数据通路,画出取数指令"LDA(R3),RO"的指令周期流程图, 其含义是将(R3)为地址的主存单元的内容取至寄存器R0中,标出各微操作控制信号序列。 5.如果在一个CPU周期中要产生3个脉冲 T1 = 200ns ,T2 = 400ns ,T3 = 200ns,试画出 时序产生器逻辑图。 解:节拍脉冲T1 ,T2 ,T3 的宽度实际等于时钟脉冲的周期或是它的倍数,此时T1 = T3 =200ns , T2 = 400 ns ,所以主脉冲源的频率应为 f = 1 / T1 =5MHZ 。为了消除节拍脉冲上的毛刺,环 型脉冲发生器可采用移位寄存器形式。下图画出了题目要求的逻辑电路图和时序信号关系。根据关 系,节拍脉冲T1 ,T2 ,T3 的逻辑表达式如下:

T1 = C1·, T2 = , T3 = 6.假设某机器有80条指令,平均每条指令由4条微指令组成,其中有一条取指微指令是所有指 令公用的。已知微指令长度为32位,请估算控制存储器容量。 解:微指令条数为:(4-1)×80+1=241条 取控存容量为:256×32位=1KB 7. 某ALU器件使用模式控制码M,S3,S2,S1,C来控制执行不同的算术运算和逻辑操作。 下表列出各条指令所要求的模式控制码,其中y为二进制变量,F为

计算机组成原理练习题答案

一、选择题 1、完整得计算机系统应包括运算器、存储器、控制器。 一个完整得计算系统应该就是:硬件系统与软件系统,硬件系统应该包括运算器,控制器,存储器,输入设备与输出设备,软件系统包括系统软件与应用软件、而您给得答案中B与D就是可以排除得,也就就是不能选,A与C两个中A得可能性最大,答案只能选A、 3、冯、诺依曼计算机工作方式得基本特点就是按地址访问并顺序执行指令. 4、移码表示法主要用于表示浮点数中得阶码。 5、动态RAM得刷新就是以行为单位得。 8、在定点运算器中产生溢出得原因就是运算得结果得超出了机器得表示范围。 10、在指令得地址字段中,直接指出操作数本身得寻址方式,称为立即寻址. 11、目前得计算机,从原理上讲指令与数据都以二进制形式存放. 13、计算机问世至今,新型机器不断推陈出新,不管怎样更新,依然保有“存储程序”得概念,最早提出这种概念得就是冯、诺依曼。 16、在CPU中,跟踪后继指令地址得寄存器就是程序计数器。 20、系统总线中地址总线得作用就是用于选择指定得存储单元或外设。 21、计算机中得主机包含运算器、控制器、存储器。 23、原码一位乘运算,乘积得符号位由两个操作数得符号进行异或运算. 24、对于真值“0”表示形式唯一得机器数就是移码与补码。 25、若[X]补=0、0100110,则[X]反= 0、0100110。--x为正数 26、在CPU中,存放当前执行指令得寄存器就是指令寄存器。 保存当前正在执行得指令得寄存器称为(指令寄存器)。 指示当前正在执行得指令地址得寄存器称为(程序计数器或指令计数器)。 27、下列编码中通常用作字符编码得就是ASCII码。 ASCII ASCII(American Standard CodeforInformationInterchange,美国信息互换标准代码)就是基于拉丁字母得一套电脑编码系统.它主要用于显示现代英语与其她西欧语言。它就是现今最通用得单字节编码系统,并等同于国际标准ISO/IEC646。28、在下列存储器中,半导体存储器可以作为主存储器. 30、在CPU中跟踪指令后继地址得寄存器就是PC。 31、EPROM就是指光擦除可编程得只读存储器。

相关主题
文本预览
相关文档 最新文档