当前位置:文档之家› 第十六讲组合逻辑控制器设计

第十六讲组合逻辑控制器设计

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

实验六 组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。 5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路的输出端接由LED发光二极管组成的0-1指示器的显示插口,LED亮红色为逻辑“1”,亮绿色为逻辑“0”。接线后检查无误,通电,用万用表直流电压20V档测量输入、输出的对地电压,并观察输出的LED颜色,填入表6-1。

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

实验二--组合逻辑电路的设计与测试

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的分析与设计方法。 2、加深对基本门电路使用的理解。 二、实验原理 1、组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB =得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、分析组合逻辑电路的一般步骤是: 1)由逻辑图写出各输出端的逻辑表达式; 2)化简和变换各逻辑表达式; 3)列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、设计组合逻辑电路的一般步骤与上面相反,是: 1)根据任务的要求,列出真值表; 2)用卡诺图或代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件构成电路; 4)最后,用实验来验证设计的正确性。 4、组合逻辑电路的设计举例 1)用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时, 输出端才为“1”。 设计步骤: 根据题意,列出真值表如表2-1所示,再添入卡诺图表2-2中。 表2-1 表决电路的真值表 表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: ABD CDA BCD ABC Z+ + + = B A+

? = ? ABC? ACD BCD ABC 最后,画出用“与非门”构成的逻辑电路如图2-1所示: 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 3、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。 五、实验预习要求 1、复习各种基本门电路的使用方法。 2、实验前,画好实验用的电路图和表格。 3、自己参考有关资料画出实验内容2、3、4中的原理图,找出实验将要使用的芯 片,以备实验时用。 六、实验报告要求 1、将实验结果填入自制的表格中,验证设计是否正确。 2、总结组合逻辑电路的分析与设计方法。

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

最新数电组合逻辑电路设计

数电——组合逻辑电路设计 实现四位二进制无符号数乘法计算学号 姓名 专业通信工程 日期 2017.4.29

一、设计目的 设计一个乘法器,实现两个四位二进制数的乘法。两个二进制数分别是被乘数3210A A A A 和乘数3210B B B B 。被乘数和乘数这两个二进制数分别由高低电平给出。乘法运算的结果即乘积由两个数码管显示。其中显示低位的数码管是十进制的;显示高位的数码管是二进制的,每位高位片的示数都要乘以16再与低位片相加。所得的和即是被乘数和乘数的乘积。做到保持乘积、输出乘积,即认为实验成功,结束运算。 二、设计思路 将乘法运算分解为加法运算。被乘数循环相加,循环的次数是乘数。加法运算利用双四位二进制加法器74LS283实现,循环次数的控制利用计数器74LS161、数码74LS85比较器实现。运算结果的显示有数码管完成,显示数字的高位(进位信号)由计数器74LS161控制。 以54 为例。被乘数3210A A A A 是5,输入0101;乘数3210B B B B 是4,输入0100.将3210A A A A 输入到加法器的A 端,与B 端的二进制数相加,输出的和被送入74LS161的置数端(把这个计数器成为“置数器”)。当时钟来临,另一个74LS161(被称之为“计数器”)计1,“置数器”置数,返回到加法器的B 端,再与被乘数3210A A A A 相加……当循环相加到第四个时钟的时候,“计数器”计4,这个4在数码比较器74LS85上与乘数3210B B B B 比较,结果是相等,A=B 端输出1,经过反相器后变为0返回到被乘数输入电路,截断与门。至此,被乘数变为0000,即便是再循环相加,和也不变。这个和,是多次循环相加的和,就是乘积。高位显示电路较为独立,当加法器产生了进位信号,CA 端输出了一个高电平脉冲,经过非门变为下

用数据选择器设计组合逻辑电路

用数据选择器设计组合逻辑电路 一、用一片四选一数据选择器实现逻辑函数:BC C A C AB Y ++=//// 要求写出分析与计算过程并画出连线图。 四选一数据选择器的功能表及逻辑图如下图所示。(10分) 解一: (1)选A 、B 作为数据选择器的地址码A 1、A 0,将逻辑函数变形为: ) ()()1()(//////////////////C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y +++=++++=++= (2)将变形后的逻辑函数与四选一数据选择器的输出逻辑式进行比较得: 013/0120/11/0/10A A D A A D A A D A A D Y +++= C D C D D C D ====3/21/0;;1; (3)连接电路:

解二: (1)、写出四选一数据选择器的逻辑表达式:(2分) S A A D A A D A A D A A D Y ?+++=)(013/0120/11/0/10 (2)、把所求逻辑函数的表达式变形:(4分) C AB C AB B A C B A ABC BC A BC A C B A C AB BC C A C AB Y )()(1)()(//////////////////++?+=++++=++= (3)、确定电路连接:(4分) 将上述两个表达式进行比较,可知应令: 1=S ,即0/=S 01;A B A A == C D C D D C D ====3/21/0;;1; (4)、画出连接图:( 2分)

二、试用一片四选一数据选择器实现逻辑函数: ' +' ' = Y' + B C A AC BC A 要求写出详细的设计过程并画出连线图。四选一数据选择器的功能表及逻辑图如图(a)、(b)所示。(10分) 解: (1)、把所求逻辑函数的表达式变形:(4分) (2)、与四选一数据选择器的逻辑表达式进行比较,确定电路连接:(4分)(3)、画出连接图:( 2分)

组合逻辑电路例题终版.doc

【例题1】设计一个投票表决器,三个投票人分别为A 、B 、C ,按规定只要二人以上同意才能通过。 解:设投同意票为“1”表示,不同意票为“0”;输出为“1”表示通过,为“0”表示不通过。 第一步:由逻辑关系列出真值表 第二步:由真值表写出逻辑函数表达式 第三步:化简逻辑函数表达式 ◆用卡诺图化简 ◆用代数法化简如下 第四步 由化简后的逻辑表达式画出逻辑电路图 7 653111*********m m m m ABC C AB C B A BC A F +++=真值表 ∑= ) 7,6,5,3(m F AB BC AC AB BC AC AB BC AC F ??=++=++=AB BC AC AB BC AC AB BC AC A C C B AC C AB B A C B A B A A C AB A B B C C AB C B A BC C AB C B A A A BC ABC C AB C B A BC A F ??=++=++=++=++=+=+++=++=+++=+++=)()()()()(

F高电平时,三极管导通,灯亮;低电平时三极管截止,灯灭。 【例题2】某汽车驾驶员培训班进行结业考试。有三名评判员,其中A为主评判员,B、C 为副评判员。评判时按少数服从多数原则,但若主评判认为合格,也可通过。试用与非门构成逻辑电路实现评判的规定。 解:(1)根据逻辑设计要求,设定三个输入变量A、B、C,并规定如下:主评判A意见:A=1认为合格;A=0认为不合格 副评判B意见:B=1认为合格;B=0认为不合格 副评判C意见:C=1认为合格;C=0认为不合格 设输出变量Y:Y=1认为通过;Y=0认为不通过 (2)列真值表 (3)根据真值表写出逻辑表达式 (4)用卡诺图化简 (5)画出逻辑电路图 【例题3】有一火灾报警系统,设有烟感、温感、紫外光感三种不同类型的火灾探测器。为了防止误报警,只有当其中有两种或两种以上类型的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计产生报警控制信号的电路。 [解](1)根据逻辑要求设置逻辑输入、输出变量。 用A、B、C分别代表烟感、温感、紫外光感三种探测器的探测输出信号,作为报警控制电路的输入变量,以“1”表示高电平,“0”表示低电平,高电平表示有火灾报警,低电平表示无火灾报警; F为报警控制电路的输出,以“1”表示高电平,“0”表示低电平,同样高电平表示有火灾报警,低电平表示无火灾报警。 真值表 ∑ = + + + + = + + + + = )7,6,5,4,3( 7 6 5 4 3 m m m m m m ABC C AB C B A C B A BC A Y A BC A BC A BC Y ? = + = + =

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

实验一组合逻辑电路设计

电子信息工程晓旭 2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三 .实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个优先级区分器。该公司收到有A,B,C,三类,A,类的优先级最高,B 类次之,C类最低。到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的同时到达时,对优先级最高的先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输出高低电平代表到

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数字

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

组合逻辑控制器工作原理

组合逻辑控制器组成结构及工作原理解析 按照控制信号产生的方式不同,控制器分为微程序控制器和组合逻辑控制器两类 微程序控制器是将全部控制信号存贮在控制存储器中。 优点:控制信号的逻辑设计、实现及改动都较容易。 缺点:产生控制信号所需的时间较长。 组合逻辑控制器,又称硬布线方案控制器,是用组合逻辑的门电路实现控制信号。 优点:产生控制信号所需的延迟时间少,对提高系统的运行速度有利。 缺点:控制信号的逻辑设计复杂,用门电路实现也较困难,尤其要变动一些设计更不方便。(见图) 一、组合逻辑控制器的组成与运行原理 1、组成:PC、IR、脉冲源和启停控制逻辑与微程序控制器相同,不同的是: ●微程序控制器中的控制存储器在组合逻辑控制器中变成时序控制信号形成部件,是用组合逻辑的门电路实 现的; ●微程序控制器中的下地址形成部件在组合逻辑控制器中变成节拍发生器,是由计数器线路实现的; ●增加了指令译码器,用于标识每一条不同的指令。 2、运行原理:依据执行过程中的操作码、当前指令所处的执行步骤等输入信号,用组合逻辑门电路直接、 快速地形成并送出指令当前执行步骤要求的控制信号。 二、TEC-8教学计算机的组成与设计 1、简介:TEC-8教学计算机字长8位,地址总线16位(可寻址64K内存),控制器用组合逻辑控制 器。 ●运算器是Am2901(见图) ●16个通用寄存器中,R0、R1、R2和R3作为通用寄存器,其余12个作为专用寄存器 R5,R4用作16位的PC(程序计数器) R7,R6用作16位的SP(堆栈指示器) R9,R8用作内存读写地址AR R11,R10用作指令转移或子程序的地址 2、指令系统概述 ●指令中用到的符号: DR:目的寄存器 SR: 源寄存器 OFFSET: 变址偏移量 DATA: 立即数 X: 一个bit位,可取值0或1 C、Z、V、S: 分别代表进位、结果为0、溢出和结果的符号位

实验一 组合逻辑电路设计

电子信息工程刘晓旭2011117147 实验一组合逻辑电路设计(含门电路功能测试) 一.实验目的 1掌握常用门电路的逻辑功能。 2掌握用小规模集成电路设计组合逻辑电路的方法。 3掌握组合逻辑电路的功能测试方法。 二.实验设备与器材 数字电路实验箱一个 双踪示波器一部 稳压电源一部 数字多用表一个 74LS20 二4 输入与非门一片 74LS00 四2 输入与非门一片 74LS10 三3 输入与非门一片 三.实验任务 1对74LS00,74LS20逻辑门进行功能测试。静态测试列出真值表,动态测试画出波形图,并说明测试的门电路功能是否正常。 2分析测试1.7中各个电路逻辑功能并根据测试结果写出它们的逻辑表达式。 3设计控制楼梯电灯的开关控制器。设楼上,楼下各装一个开关,要求两个开关均可以控制楼梯电灯。 4某公司设计一个邮件优先级区分器。该公司收到有A,B,C,三类邮件,A,类的优先级最高,B类次之,C类最低。邮件到达时,其对应的指示灯亮起,提醒工作人员及时处理。当不同类的邮件同时到达时,对优先级最高的邮件先做处理,其对应的指示灯亮,优先级低的暂不理会。按组合逻辑电路的一般设计步骤设计电路完成此功能,输入输

实验一: (1)74LS00的静态逻辑功能测试 实验器材:直流电压源,电阻,发光二极管,74LS00,与非门,开关,三极管 实验目的:静态逻辑功能测试用来检查门电路的真值表,确认门电路的逻辑功能正确与否 实验过程:将74LS00中的一个与非门的输入端A,B分别作为输入逻辑变量,加高低电平,观测输出电平是否符合真值表描述功能。 电路如图1: 图1 真值表1.1: 表1.1 实验问题:与非门的引脚要连接正确,注意接地线及直流电源 实验结果:由二极管的发光情况可判断出74LS00 实现二输入与非门的功能 (2)71LS00的动态逻辑功能测试 实验器材:函数发生器,示波器,74LS00,与非门,开关,直流电压源 实验目的:测试74LS00与非门的逻辑功能 实验内容:动态测试适合用于数字系统中逻辑功能的检查,测试时,电路输入串行数

组合逻辑电路习题解答

v1.0 可编辑可修改 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。

组合逻辑控制器部件实验

控制器部件是计算机的五大功能部件之一,其作用是向整机每个部件(包括控制器本身)提供协同运行的需要的控制信号?因而,控制器在计算机组成原理过程中是非常重要的内容,但学生在学习过程中,对其工作原理却常常感到难以理解.教师在讲述这一部分内容时,也常 常觉得难以叙述清楚,通过本实验,轻而易举的解决了这一问题。 一?实验设备和运行环境 在组合程序控制器方式下,同样可以做汇编语言程序设计、主存储器扩展、I/O接口 扩展和中断实验。这几项实验的操作步骤与在微逻辑控制器方式下的实验操作相同,用户也可参照后面给出的参考步骤。本节只给出组合逻辑控制器实验的操作步骤。 .实验目的、原理、实验具体步骤和内容2.1实验原理控制器的设计实现有两种方式:一种是微程序,另一种是组合逻辑线路,微程序控制器的工作原理,是用一条微指令的控制命令字段来提供一条机器指令的一个执行步骤所需要的控制信号,用这条微指令的下地址字段,指明下一条微指令在控制器存储器中的地址,以便从控制器存储器中读出下一条微指令?换句话说,每一条微指令对应一条机器指令的一个执行步骤。 1微指令格式 其中高八位为下地址字段?其余各位为控制字段? 1)址形成逻辑 TH —UNION 教学机利用器件形成下一条微指令在控制器存储器的地址? 下地址的形成由下地址字段及控制字段中的CI3—SCC控制.当为顺序执行时,下地址字段不起作用?下地址为当前微指令地址加1;当为转移指令(CI3—0=0011)时,由控制信号SCC提供转移条件,由下地址字段提供转移地址? 2)控制字段 控制字段用以向各部件发送控制信号,使各部件能协调工作。 控制字段中各控制信号有如下几类: ①对运算器部件为了完成数据运算和传送功能,微指令向其提供了24位的控制信号, 包括:4位的A、B 口地址,用于选择读写的通用积存器3组3位的控制码I 8 - I 6、 I 5 —I 3、I 2 - I 6,用于选择结果处置方案、运算功能、数据来源。 3组共7位控制信号控制配合的两片GAL20V8 3位SST,用于控制记忆的状态标志位 2位SCI,用于控制产生运算器低位的进位输入信号 2位SSH,用于控制产生运算器最高,最地位(和积存器)移位输入信号 ②对内存储器I/O和接口部件,控制器主要向它们提供读写操作用到的全部控制信号,共3位,即MRW ③对CPU内部总线数据来源的控制,主要通过3位编码标记为DCD,来选择把哪一组数据发送到内部总线(IB)上。 ④对几个特定的积存器接受输入的控制,也通过了编码C标记为D (2),用来选择允许哪个积存器接受送个它的一组数据。 2.2实验目的:通过看懂教学计算机中已经设计好并正常运行的几条典型指令(例如,ADD

组合逻辑电路设计例题

9.4、组合逻辑电路的分析与设计习题 1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A 和B 在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A 、B 、C ,输出为Y 。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表; (2)写出逻辑函数式; (3)用基本门画出实现上述逻辑功能的逻辑电路图。 解:(1)列真值表: (3)逻辑电路图: A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 (2)逻辑函数式: )()(___ ___ ___ ___ B A C B A B A C C B A BC A F ⊕=+=+= 2、某同学参加三类课程考试,规定如下:文化课程(A )及格得2分,不及格得0分;专业理论课程(B )及格得3分,不及格得0分;专业技能课程(C )及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y ),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 解:(1)真值表: (3)逻辑电路图 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 (2)逻辑函数表达式 BC AC A B C B A B C C B A BC C B A ABC BC A ABC C B A BC A F +=+=+=+=++=++=)()(___ ___ ___ ___ ___ ___ A BC 00 01 11 10 0 0 0 1 0 1 0 1 1 & 00 00 & 00 00 >=1 A B C F 1 1 = & A F B C >=1 & A F B C

相关主题
文本预览
相关文档 最新文档