当前位置:文档之家› 模型机的设计与实现(课程设计)

模型机的设计与实现(课程设计)

模型机的设计与实现(课程设计)
模型机的设计与实现(课程设计)

课程设计说明书设计名称:计算机组成原理

题目:模型机的设计与实现

学生姓名:

专业:

班级:

学号:

指导教师:

日期:年月日

课程设计任务书

专业级班

一、课程设计题目

1.基本模型机设计

2.复杂模型机设计

二、课程设计目的

1.在掌握“TDN-CM++计算机组成原理教学实验系统”部件单元电路的基础上,通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。

2.通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整机概念,加深计算机“时空”概念的理解。

3.培养独立工作和创新思维的能力,取得设计与调试的实践经验。

三、主要内容

综合运用所学计算机原理知识,利用TDN-CM++教学实验系统设计并实现简单的模型机。设计总体结构及机器指令、微指令。根据设计的接线图搭好模型机电路,利用设计的指令编写程序并在机器上运行。

四、设计要求

在掌握“TDN-CM++计算机组成原理教学实验系统”原理的基础上,以此实验系统为平台,设计一台微程序控制的模型计算机。 1.设计模型机硬件(需含计算机基本组成,即CPU、内存、I/O接口、输入设备、输出设备、总线等,CPU为微程序控制器类型),设计总体结构及数据通路框图。

2.设计模型机指令系统(含设计机器指令、微指令格式、每条指令所对应的微程序等)。

基本模型机要求实现5条机器指令。复杂模型机要求实现16条机器指令。

3.利用模型机指令系统,编写汇编语言程序,分别完成下列功能:

(1)将数据输入开关上的数据在LED上显示出来。

(2)编程序完成功能:LED上的数从1以步长1往上递增。

(3)编程序完成功能:S=1+2+3+4+5+……,要求在LED上循环显示结果1、3、6、0A、……。调试、运行通过后将程序写在下面。

4.根据以上软硬件设计,在“TDN-CM++计算机组成原理教学实验系统”上调试,检测实现的功能是否达到设计要求。

5.撰写课程设计报告(文件名:学号姓名.doc),课程设计报告中所规定的图文齐全、符合要求、结构合理、文章通顺;能对实验中出现的问题提出改进意见并加以分析说明。

四、进度安排

本学期第15-16周。

5月25日-5月26日:资料查找、设计总体结构及数据通路框图。

5月27日-5月29日:设计模型机指令系统。

6月1日-6月3日:搭建模型机、整机调试。

6月4日-6月5日:资料整理、课程设计说明书编写。

五、完成后应上交的材料

课程设计说明书

六、总评成绩

指导教师签名日期年月日系主任审核日期年月日

目录

一、实验一基本模型机设计与实现 (6)

1.1实验目的 (6)

1.2实验设备 (6)

1.3实验原理 (6)

1.4实验步骤 (12)

1.5实验内容 (16)

二、实验二复杂模型机的设计与实现 (18)

2.1实验目的 (18)

2.2实验设备 (18)

2.3数据格式及指令系统 (18)

2.4总体设计 (20)

2.5实验步骤 (23)

2.6实验内容 (27)

三、实验心得 (28)

一、实验一基本模型机设计与实现

1.1、实验目的

1.在掌握部件单元电路实验的基础上,进一步将其组成系统构造一台基本模型计算机。

2.为其定义5条机器指令,并编写相应的微程序,具体上机调试掌握整机概念。

1.2、实验设备

1.TDN-CM++计算机组成原理教学实验系统 1台

2.排线若干

1.3、实验原理

部件实验过程中,各部件单元的控制信号是人为模拟产生的,而本次实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。

本实验采用5条机器指令:

IN ——输入

ADD——二进制加法

STA——存数

OUT——输出

JMP——无条件跳转

其指令格式如下(前4位为操作码):

其中IN为单字节字长(8位),其余为双字节字长,××××××××为addr对应的二进制地址码。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,还必须设计三个控制台操作微程序。

1.存储器读操作(KRD):拨动清零开关CLR后,控制台开关SWB、SWA置为“0 0”时,按START微动开关,可对RAM连续手动读操作。

2.存储器写操作(KWE):拨动清零开关CLR后,控制台开关SWB、SWA置为“0 1”时,按START微动开关,可对RAM进行连续手动写入。

3.启动程序(RP):拨动清零开关CLR后,控制台开关SWB、SWA置为“1 1”时,按START微动开关,即可转入到第01号“取址”微指令,启动程序运行。

上述三条控制台指令用两个开关SWB 、SWA 的状态来设置,其定义如下:

根据以上要求设计数据通路框图,如图1。微代码定义如表1所示。

图1 数据通路框图

A 字段

B 字段

C 字段

表1

系统涉及到的微程序流程见图5-2,当拟定“取指”微指令时,该微指令的判别测试字段P (1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P (1)的测试结果出现多路分支。本机用指令寄存器的前4位(IR7-IR4)作为测试条件,出现5路分支,占用5个固定微地址单元。

控制台操作为P (4)测试,它以控制台开关SWB 、SWA 作为测试条件,

出现了3路分支,占用3个固定微地址单元。当分支微地址单元固定后,剩下的其它地方就可以一条微指令占用控存一个微地址单元随意填写。(注意:微程序流程图上的单元地址为八进制)

当全部微程序设计完毕后,应将每条微指令代码化,表2即为将图2的微程序流程图按微指令格式转化而成的“二进制微代码表”。

图2

表2 二进制代码表

下面介绍指令寄存器IR:指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到缓冲寄存器中,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试[P(1)],通过节拍脉冲T4的控制以便识别所要求的操作。“指令译码器”(实验板上标有“INS DECODE”的芯片)根据指令中的操作码强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。

本系统有两种外部I/O设备:一种是二进制代码开关,它作为输入设备(Input Device)。另一种是数码块,它作为输出设备(Output Device)。例如:输入时,二进制开关数据直接经过三态门送到总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到数据总线上,当写信号(W/R)有效时,将数据打入输出锁存器,驱动数码块显示。

1.4、实验步骤

1.按图3连接实验线路。

图3

2.在计算机上编写程序,并下载到下位机。

程序功能:将数据开关的数据与OAH单元的数据相加,将结果在数码管

上显示出来。本实验设计机器指令程序如下

按照规定格式,将机器指令及表2微指令二进制表编辑成十六进制的如下格式文件。微指令格式中的微指令代码为将表2中的24位微代码按从左到右分成3个8位,将此三个8位二进制代码化为相应的十六进制数即可。

程序

$P0000 机器指令格式说明:

$P0110 $P××××

$P020A 前两位为十六进制地址$P0320 后两位为机器指令代码$P040B

$P0530

$P060B

$P0740

$P0800

$P0A01

微程序

$M00018110 微指令格式说明:

$M0101ED82 $M ××××××××$M0200C048 前两位为十六进制地址$M0300E004 后六位为微指令代码

$M0400B005

$M0501A206

$M06959A01

$M0700E00D

$M08001001

$M0901ED83

$M0A01ED87

$M0B01ED8E

$M0C01ED96

$M0D028201

$M0E00E00F

$M0F00A015

$M1001ED92

$M1101ED94

$M1200A017

$M13018001

$M14002018

$M15070A01

$M1600D181

$M17070A10

$M18068A11

用联机软件的装载功能将该文件(C:\TangDu\CMPP\Sample\Ex1.txt)传入实验系统即可。

3.运行程序

①将实验板上“STATE UNIT”中的“STEP”开关置为“EXEC”状态,“STOP”开关置为“RUN”状态。编程开关置为“RUN”状态。

②SWB、SWA开关设置为“11”。

③拨动CLR开关(1→0→1),清微地址及程序记数器。然后按动START,

系统连续运行程序,观察结果是否正确。

1.5、实验内容

1.将存储单元0A中写入数据3BH,并在LED上显示出来。

2.将数据输入开关上的数据在LED上显示出来。

注意:程序运行过程中不断改变数据输入开关的数据,LED上显示的数据也随之改变。

3.编程序完成功能:LED上的数从1以步长1往上递增。

4.编程序完成功能:S=1+2+3+4+5+……,要求在LED上循环显示结果1、3、6、0A、……。调试、运行通过后将程序写在下面。

二、实验二、复杂模型机的设计与实现

2.1 、实验目的

综合运用所学计算机原理知识,设计并实现较为完整的计算机。

2.2 、实验设备

TDN-CM++计算机组成原理教学实验系统一台,排线若干。

2.3、数据格式及指令系统

1) 数据格式

模型机规定采用定点补码表示法表示数据,且字长为8位,其格式如下:

其中,第7位为符号位,数值表示范围是:-1≤X<1。

2) 指令格式

模型机设计4大类指令共16条,其中包括算术逻辑指令、I/O指令、访问及转移指令和停机指令。

(A) 算术逻辑指令

设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:

其中,OP-CODE为操作码,Rs为源寄存器,Rd为目的寄存器,并规定:9条算术逻辑指令的名称、功能和具体格式见表14。

(B) 访问指令及转移指令

模型机设计2条访问指令,即存数(STA)、取数(LDA);2条转移指令,

即无条件转移(JMP)、结果为零或有进位转移指令(BZC)。

设计9条算术逻辑指令并用单字节表示,寻址方式采用寄存器直接寻址,其格式如下:

其中,OP-CODE为操作码,Rd为目的寄存器,D为位移量(正负均可),M为寻址方式,其定义如下:

(C) 输入输出指令

其中,addr=01 时,选中“INPUT DEVICE”中的开关组作为入设备,addr=10时,选中OUTPUT DEVICE中的数码快作为输出设备。

(D) 停机指令

格式如下:

这类指令只有1条,即停机指令HALT。

3) 指令系统

本模型机共有16条基本指令。其中,算术逻辑指令7条,访问内存指

令和程序控制指令4条,输入输出指令2条,其他它指令1条。表5列出了各条指令的格式、汇编符号、指令功能。

2.4 、总体设计

本模型机的数据通路如图4所示。根据机器指令系统要求,设计微程序流程图及确定微地址,如图6所示。

按照图18所示的微指令格式参照指令流程图,将每条微指令代码化,译成二进制代码表,并将二进制代码转换为联机操作时的十六进制格式文件。

表3 指令系统编码

实验程序如下:

程序助记符

16位模型机的设计

16位CPU的设计 要求: 此模型机的功能是将存储区的数据块复制到另一个存储区。 汇编代码如下: START:LOADI R1,0010H ;源操作数地址送R1 LOADI R2,0030H ;目的操作数地址送R2 LOADI R6,002FH ;结束地址送R6 NEXT:LOAD R3,[R1] ;取数 STORE [R2],R3 ;存数 BRANCHGTI START ;如果R1>R6,则转向START INC R1 ;修改源地址 INC R2 ;修改目的地址 BRANCHI NEXT ;转向NEXT 1.16位CPU的组成结构

2.指令系统的设计 一、指令格式 1)单字指令格式 2)双字指令格式 操作码指令功能 00001 LOAD 装载数据到寄存器 00010 STORE 将寄存器的数据存入到存储器 00100 LOADI 将立即数装入到寄存器 00101 BRANCHI 无条件转移到由立即数指定的地址 00110 BRANCHGTI 如果源寄存器容大于目的寄存器的容,则转移到由 立即数指定的地址 00111 INC 寄存器容加1指令 依据以上设计的指令系统,则完成数据块复制的程序如下: 地址机器码指令功能说明 0000H 0001H 2001H 0010H LOADI R1,0010H 源操作数地址送R1

一、程序包:说明运算器的功能、移动寄存器的操作、比较器的比较类型和用于CPU控 制的状态类型。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; package cpu_lib is subtype t_shift is unsigned (3 downto 0); constant shftpass :unsigned(3 downto 0):="0000"; constant sftl :unsigned(3 downto 0):="0001"; constant sftr:unsigned(3 downto 0):="0010"; constant rotl :unsigned(3 downto 0):="0011"; constant rotr :unsigned(3 downto 0):="0100"; subtype t_alu is unsigned(3 downto 0); constant alupass :unsigned(3 downto 0):="0000";

基本模型机的设计与实现课程设计报告

基本模型机的设计与实现课程设计报告 https://www.doczj.com/doc/dd7783838.html,/maria87328/archive/2008/01/13/2041130.aspx 一、实验基本任务 1、由基本单元电路构成一台基本模型机。 2、设计五条机器指令,并编写相应的微程序。 3、调试指令和模型机使其在微程序的控制下自动产生各部件单元的控制信号正常工作。 二、设计方案 1、硬件设计 (1)设计微程序控制电路 微程序控制器的组成:控制存储器:EPROM2816*3,8D触发器74ls273*2,4D触发器74ls74*3;微指令寄存器格式:18位微指令,6位微地址。 (2)设计时钟信号源和时序控制电路 时钟信号源的组成:时基电路555,可触发单稳态多谐振荡器74ls237*2,输出频率为330-580Hz的方波信号。 时序控制电路:4D触发器74ls175*1组成移位寄存器电路。 (3)设计主存储器 主存电路的组成:6264存储器(8K*8位)*3,地址寄存器:74ls273*1,三态门:74ls245*1。 2、微控制设计 (1)实现存储器读操作; 拨动总清开关后,置控制开关SWC、SW A为“0 0”时,按要求连线后,连续按动“启动运行”开关,可对主存储器RAM连续手动读操作。 (2)实现存储器写操作; 拨动总清开关后,置控制开关SWC、SW A为“0 1”时,按要求连线后,再按动“启动运行”开关,可对主存储器RAM 连续手动写入。 (3)实现程序运行操作。 拨动总清开关后,置控制开关SWC、SW A为“1 1”时,按要求连线后,再按动“启动运行”开关,即可转入到第01号“取址”微指令,启动程序运行。

数学模型课程设计一

课程设计名称: 设计一:MATLAB 软件入门 指导教师: 张莉 课程设计时数: 8 课程设计设备:安装了Matlab 、C ++软件的计算机 课程设计日期: 实验地点: 第五教学楼北902 课程设计目的: 1. 熟悉MA TLAB 软件的用户环境; 2. 了解MA TLAB 软件的一般目的命令; 3. 掌握MA TLAB 数组操作与运算函数; 4. 掌握MATLAB 软件的基本绘图命令; 4. 掌握MA TLAB 语言的几种循环、条件和开关选择结构。 课程设计准备: 1. 在开始本实验之前,请回顾相关内容; 2. 需要一台准备安装Windows XP Professional 操作系统和装有数学软件的计算机。 课程设计内容及要求 要求:设计过程必须包括问题的简要叙述、问题分析、实验程序及注释、实验数据及结果分析和实验结论几个主要部分。 1. 采用向量构造符得到向量[1,4,7,,31] 。 //a=[1:3:31] 2. 随机产生一向量x ,求向量x 的最大值。 // a=rand(1,6) max(a) 3. 利用列向量(1,2,3,,6)T 建立一个范德蒙矩阵A ,并利用位于矩阵A 的奇数行偶数列的元素建立一个新的矩阵B ,须保持这些元素的相对位置不变。 4. 按水平和竖直方向分别合并下述两个矩阵: 100234110,5670018910A B ????????==???????????? 5. 当100n =时,求1121n i y i ==-∑的值。 6. 一个三位整数各位数字的立方和等于该数本身则称该数为水仙花数。输出全部水仙花数。 7. 求[1000,2000]之间第一个被17整除的整数。 8. 用MATLAB 绘制两条曲线,[0,2]x π∈,以10 π为步长,一条是正弦曲线,一条是余弦曲线,线宽为6个象素,正弦曲线为绿色,余弦曲线为红色,线型分别为实线和虚线,并给所绘的两条曲线增添图例,分别为“正弦曲线”和“余弦曲线”。

基本模型机设计及实现

基本模型机设计及实现文件排版存档编号:[UYTR-OUPT28-KBNTL98-UYNN208]

课程设计任务书课程名称:计算机组成原理 设计题目:(共3个课题,最多3人一组,每组任选一题) 1.基本模型机设计与实现; 2.带移位运算的模型机的设计与实现; 3.复杂模型机的设计与实现。 已知技术参数和设计要求: 内容和技术参数: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微程序。设计环境为TDN-CM+计算机组成原理教学实验系统,微机,虚拟软件。将所设计的微程序在此环境中进行调试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计说明书、完成答辩。 1.基本模型机设计与实现 指令系统至少要包括六条不同类型指令:如一条输入指令,一条减法指令,一条加法指令,一条存数指令,一条输出指令和一条无条件转移指令。 2. 带移位运算的模型机的设计与实现 在基本模型机的基础上增加左、右循环和左、右带进位循环四条指令 3. 设计不少于10条指令的指令系统。其中,包含算术逻辑指令,访问内存指令,程序控制指令,输入输出指令,停机指令。重点是要包括直接、间接、变址和相对寻址等多种寻址方式。 以上数据字长为8位,采用定点补码表示。指令字长为8的整数倍。微指令字长为24位。

具体要求: 1、确定设计目标 确定所设计计算机的功能和用途。 2、确定指令系统 确定数据的表示格式、位数、指令的编码、类型、需要设计哪些指令及使用的寻址方式。确定相对应指令所包含的微操作。 3、总体结构与数据通路 总体结构设计包括确定各部件设置以及它们之间的数据通路结构。在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微命令。 综合考虑计算机的速率、性能价格比、可靠性等要求,设计合理的数据通路结构,确定采用何种方案的内总线及外总线。数据通路不同,执行指令所需要的操作就不同,计算机的结构也就不一样。 4、设计指令执行流程 数据通路确定后,就可以设计指令系统中每条指令所需要的机器周期数。对于微程序控制的计算机,根据总线结构,需考虑哪些微操作可以安排在同一条微指令中,哪些微操作不能安排在同一条微指令中。 5、确定微程序地址 根据后续微地址的形成方法,确定每个微程序地址及分支转移地址。 6、微指令代码化 根据微指令格式,将微程序流程中的所有微指令代码化,转化成相应的二进制代码写入到控制存储器中的相应单元中。

计算机硬件课程设计--简单模型机设计

计算机硬件课程设计--简单模型机设计

计算机硬件综合课程设计报告

简单模型机设计 一、设计要求 硬件:TDN-CM+计算机组成原理实验系统一台,PC机一台,排线若干,串口线一根。 软件:CMP软件 二、设计目的 1.通过对一个简单计算机的设计,对计算机的基 本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 2.通过这次课程设计,建立整机的概念,对程序 进行编辑,校验,锻炼理论联系实际的能力。 3.通过本次课程设计熟悉和训练设计思路与实 现方法。 4.通过本次课程设计锻炼团队合作的能力和团 队问题的解决。

三、设计电路及连线 设计电路及连线实验图如下图1-1所示。 图1-1 简单模型机连线图 四、设计说明 本次课程设计将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器

来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本次课程设计采用五条机器指令:IN (输入)、ADD (二进制加法)、STA (存数)、OUT (输出)、JMP (无条件转移),其指令格式如下(前4位为操作码): 助记符 机器指令码 说 明 微程序入口地址 IN 0000 0000 “INPUT DEVICE ”中 10 的开关状态→R0 0001 0000 ×××× ×××× R0+[addr]→R0 11 0010 0000 ×××× ×××× R0→[addr] 12 0011 0000 ×××× ×××× [addr]→BUS 13 0100 0000 ×××× ×××× addr →PC 14 ADD addr STA

实验七基本模型机的设计与实现

实验七 基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造 一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机 概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平 线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而 本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特 定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完 成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全 部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、 STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下 (前三位为操作码): ==========================================================助记符 机器指令码 说 明 -------------------------------------------------- ------------- IN R0,SW 0010 0000 数据开关状态 →R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC ==========================================================其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对 应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的 微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试 字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指 令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位 (IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为 将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码

8位模型机课程设计

xxxxx 课程设计报告学院机电信息学院 课程课程设计 专业计算机科学与技术班级xxxxx 姓名xxxxxxx x 学号xxxxxxxxxx 指导教师xxxxxx 日期201x年x月x日

目录 1.概述 0 2.总体设计 0 3.详细设计 (2) 3.1 运算器 (2) 3.2 存储器 (3) 3.3 微控制器 (5) 3.4 基本模型机设计与实现 (8) 4. 总结 (10) 参考文献 (11)

8位模型机的设计与仿真 1.概述 在掌握部件单元电路设计与仿真的基础上,进一步将其组成系统构造一台8位模型机。字长是8位纯整型,包含基本的五大件:运算器、存储器、控制器、I/O设备。它的结构框图如下图1-1所示. 这基本的五大件通过数据总线连接,实现数据的处理和控制。 部件实验过程中,各部件单元的控制信号是人为模拟产生的,而综合实验将能在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 2.总体设计 模型机主要由运算器、控制器、存储器、数据总线、输入输出和时序产生器组成,模型机的结构图如图2-1所示。 图2-1 模型机结构图 在图2-1中T1、T2、T3和T4等控制信号都是由时序产生器生产,时序产生器由时序电路实现如图2-2所示,时序产生器一个周期中产生四个脉冲信号T1~T4,这四个脉冲信号用于控制组件的执行顺序,组件在这些信号的控制下有序的执行,一个周期中完成一条微指令的执行。 图2-2 时序产生器

《数学建模》课程设计报告--常染色体遗传模型

《数学建模》课程设计 报告 课题名称:___常染色体遗传模型 系(院):理学院 专业:数学与应用数学 班级: 学生姓名:巫荣 学号: 指导教师:陈宏宇 开课时间:2011-2012 学年二学期 常染色体遗传模型摘要 为了揭示生命的奥秘, 遗传特征的逐代传播, 愈来愈受到人们更多的注意。我们通过问题分析,模型的建立,去解决生物学的问题。为了去研究理想状态下常染色体遗传的情况,我们通过建立随机组合时常染色体的遗传模型,可以计算出各种情况随机出现的百分率,并且可以通过常染色体遗传模型,算出各个情况的概率分布,并且通过模型,分析情况出现的稳定性。揭示了常染色体遗传的分布规律,揭示了下一代各情形变化的规律性和稳定性。 关键词:遗传; 随机; 百分率; 概率分布; 稳定 一、问题重述 问题产生背景

常染色体遗传中,后代从每个亲体的基因对中各继承一个基因,形成自己的基因对,基因对也称为基因型。如果我们所考虑的遗传特征是由两个基因A和a控制的,那么就有三种基因对,记为AA, Aa,aa 。例如,金鱼草由两个遗传基因决定花的颜色,基因型是AA的金鱼草开红花,Aa 型的开粉红色花,而aa型的开白花。又如人类眼睛的颜色也是通过常染色体遗传控制的。基因型是AA或Aa 的人,眼睛为棕色,基因型是aa的人,眼睛为蓝色。这里因为AA和Aa 都表示了同一外部特征,我们认为基因A支配基因a,也可以认为基因a对于A来说是隐性的。当一个亲体的基因型为Aa ,而另一个亲体的基因型是aa时,那么后代可以从aa型中得到基因a,从Aa 型中或得到基因A,或得到基因a。这样,后代基因型为Aa或aa的可能性相等。下面给出双亲体基因型的所有可能的结合,以及其后代形成每种基因型的概率,如下表所示。 父体—母体的基因型 AA ??AA AA ??Aa AA ??aa Aa ??Aa Aa ??aa aa ??aa 后代AA 1 1/2 0 1/4 0 0 基因Aa 0 1/2 1 1/2 1/2 0 型aa 0 0 0 1/4 1/2 1 问题描述 题目:农场的植物园中某种植物的基因型为AA, Aa和aa。农场计划采用AA型的植物与每种基因型植物相结合的方案培育植物后代。那么经过若干年后,这种植物的任一代的三种基因型分布如何? 二、问题分析 在本问题中要知道每一代的基因分布,首先要知道上一代的基因型分布,在自由组合后的所有子代可能出现的基因型(上面已经给出)。为了求出每一代的基因型分布,第一步写出第一代的基因型分布;第二步推出第n+1代的基因型分布与第n代的基因型分布的关系;第三步利用差分方程求出每一代的每种基因型分布通项从而求得任一子代三种基因型的概率分布。 现该农场的植物园中某种植物的基因型为AA,Aa和aa.采用AA型基因的植物相结合培育后代,求若干年后这种植物的任一代的三种基因型分布,首先分析出初始里,AA,Aa,aa这三种基因型植物的大致分布,首先必须分析出初

计算机组成原理课程设计基本模型机设计与实现

课程设计(大作业)报告课程名称:计算机组成原理 设计题目:基本模型机设计与实现 院系:信息技术学院 班级:计算机科学与技术3班 设计者: 学号: 指导教师: 设计时间: 昆明学院 信息技术学院 课程设计(大作业)任务书

目录 课程设计(大作业)报告 一、课程设计的教学目的 1. 在“微程序控制器的组成与微程序设计实验”的基础上,进一步将其中各单元组成系统构造一台模型计算机。 2. 本实验定义五条机器指令,编写相应的微程序,并上机调试运行,形成整机概念。 课程设计内容设计一台基本模型机,并实现相关的指令。 二、课程设计任务和基本要求 本课程设计以TDN-CM++计算机组成原理教学实验系统为平台设计完成。 1.按给定的数据格式和指令系统,设计一个微程序控制器。 2.设计给定机器指令系统以及微程序流程图,按微指令格式写出微 程序的为指令代码。

3.连接逻辑电路完成启动,测试,编程,测试,效验和运行,并观测运 行过程及结果。 4.将微程序控制器模块与运算器模块,存储器模块联机,组成一台 模型计算机。 5.用微程序控制器控制模型机的数据通路。 6.通过在模型机上运行由机器指令组成的简单程序,掌握机器指令 与微指令的关系,建立计算机整机的概念,掌握计算机的控制机制。 7.按指定的应用项目进行汇编指令格式及功能设计,并设计相应的 机器指令代码,按照模型机数据通路设计实现机器指令功能的微程序.在 PC机上编辑机器指令和微程序,装载代码到TDN-CM++实验系统并运行,实现应用要求。 三、设计任务及分析 (1)设计任务: 从输入设备读取数据X并将其存入以A为间接地址的 内存单元,将X与R 0. 寄存器中的内容Y执行X ⊕,结果送到以B为直接地址的内存单元保存。 (2)分析: A:给R 寄存器直接置入01H. B:从数据开关给间接地址为0CH的内存单元置数,(03H). C:给R 0中的内容取反,结果存在R 中. D:将间接地址0CH中直接地址0EH中的内容(03H)放入DR1中, R 中的内容 放入DR2中,将DR1和DR2种的数进行异或运算,结果放在R 中. E:将R 中的内容存在直接地址为0DH的内存单元中. 四、设计原理 模型机在微程序控制下自动产生各部件单元控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU 从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一段微程序。 本实验采用五条机器指令: IN(输入)、ADD(二进制加法)、STA(存数)、OUT(输出)、JMP(无条件转移),其指令格式如下(前4位为操作码):

计算机组成原理-简单模型机设计课设

目录 摘要 (2) 前言 (3) 正文 (4) 一、设计目的和设计原理 (4) 1.1设计目的 (4) 1.2设计原理 (4) 二、总体设计 (7) 三、详细设计 (8) 3.1运算器的物理结构 (8) 3.2存储器系统的组成与说明 (11) 3.3指令系统的设计与指令分析 (12) 3.4微程序控制器的逻辑结构及功能 (14) 3.5微程序的设计与实现 (18) 四、系统调试 (27) 总结 (29) 参考文献 (30) 致谢 (31)

摘要 根据设计任务书要求,本设计要实现完成一个简单计算机的设计,主要设计部分有运算器,存储器,控制器以及微指令的设计。 其中运算器由运算芯片和寄存器来完成,存储器由总线和寄存器构成,使用硬布线的方式实现控制器,从而完成设计要求。 : 关键词:基本模型机的设计;运算器;存储器;控制器;

前言 计算机组成原理是计算机科学技术学科的一门核心专业基础课程。从课程的地位来说,它在先导课程和后续课程之间起着承上启下的作用。 计算机组成原理讲授单处理机系统的组成和工作原理,课程教学具有知识面广,内容多,难度大,更新快等特点。此次课程设计目的就是为了加深对计算机的时间和空间概念的理解, 增强对计算机硬件和计算机指令系统的更进一步了解。 计算机组成原理课程设计目的是为加深对计算机工作原理的理解以及计算机软硬件之间的交互关系。不仅能加深对计算机的时间和空间的关系的理解,更能增加如何实现计算机软件对硬件操作,让计算机有条不紊的工作。

正文 一、设计目的和设计原理 1.1设计目的 融会贯通计算机组成原理课程中各章的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识,特别是对硬连线控制器的认识,建立清晰的整机概念。对计算机的基本组成、部件的设计、部件间的连接、微程序控制器的设计、微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。 在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 1.2设计原理 (1)运算器 设计中所用的运算器数据通路,其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,测试时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 算术逻辑运算功能发生器 74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,测试时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、

数学模型课程设计

数学模型课程设计

文档仅供参考,不当之处,请联系改正。 攀枝花学院 学生课程设计(论文) 题目:蔬菜的运输问题 学生姓名:孟蕾 学号: 1080 所在院(系):数学与计算机学院 专业:信息与计算科学 班级:级信本 指导教师:李思霖 6 月 29 日 攀枝花学院教务处制

攀枝花学院本科学生课程设计任务书

课程设计(论文)指导教师成绩评定表

摘要 本文针对蔬菜的运输问题进行分析,针对蔬菜运输时所需要注意的蔬菜供应量,需求量,运输距离,运输补贴,短缺补偿等约束性条件,运用lingo编程的方法解决如何进行蔬菜运输来分别使各类要求的支出最少的问题。 问题一中,要求如果不考虑短缺补偿,只考虑运费补贴最少,请为该市设计最优蔬菜运输方案。我们将供货商和销售点需求分别编号a和b,数量是从1~8和1~35。从题中能够看出其约束条件,所有销售点从第 A基地获得的蔬菜数量应该等于该基地所 i 生产的蔬菜数量;所有基地给 B销售点提供的蔬菜数量要大于等 j 于0,而且应该小于或等于该点的需求量。 问题二中,增添了对短缺补缺的考虑,规定各蔬菜销售点的短缺量一律不超过需求量的30%,在同时考虑短缺补偿和运费补贴的情况下再次设计最有蔬菜方案。由题意即是要求总费用,具体步骤仍同问题一,需要变化的分别是总费用w的表示式和关于销售点需求的约束条件。w变为原运输补贴的公式再加上每个销售点每吨短缺蔬菜的数量乘上各个销售点不同的短缺补偿,短缺数量需要用各个销售点的需求减去所有基地供给给这个的销售点的蔬菜数量之和。 问题三中,要求增加任意两个基地的生产数量,使得不存在短缺情况出现,然后视运费补贴最小的情况来确定哪两个基地分

实验七基本模型机的设计与实现

实验七基本模型机的设计与实现 一、实验目的 ⒈在掌握部件单元电路实验的基础上,进一步将其组成系统地构造一台基本模型计算机。 ⒉为其定义5条机器指令,并编写相应的微程序,上机调试掌握整机概念。 二、实验设备 Dais-CMH+/CMH 计算器组成原理教学实验系统一台,实验用扁平线、导线若干。 三、实验原理 部件实验过程中,各部件单元的控制信号是以人为模拟产生为主,而本次实验将能在微程序控制下自动产生各部件单元的控制信号,实现特定指令的功能。这里,计算机数据通路的控制将由微程序控制器来完成,CPU从内存中取出一条机器指令到指令执行结束的一个指令周期全部由微指令组成的序列来完成,即一条机器指令对应一个微程序。 本实验采用五条机器指令:IN(输入)、ADD(二进制加法)、STA(存数)、OUT (输出)、JMP(无条件转移),其指令格式如下(前三位为操作码): =============================================================== 助记符机器指令码说明 --------------------------------------------------------------- IN R0,SW 0010 0000 数据开关状态→R0 ADD R0,[addr] 0100 0000 XXXXXXXX R0+[addr]→R0 STA [addr],R0 0110 0000 XXXXXXXX R0→[addr] OUT [addr],LED 1000 0000 XXXXXXXX [addr]→LED JMP addr 1010 0000 XXXXXXXX addr→PC =============================================================== 其中IN为单字节(8位),其余为双字节指令,XXXXXXXX为addr对应的二进制地址码。 根据以上要求设计数据通路框图,如图7-10-1所示。系统涉及到的微程序流程见图7-7-3,当拟定“取指”微指令时,该微指令的判别测试字段为P(1)测试。由于“取指”微指令是所有微程序都使用的公用微指令,因此P(1)的测试结果出现多路分支。本机用指令寄存器的前3位(IR7~IR5)作为测试条件,出现8路分支,占用8个固定微地址单元。 当全部微程序设计完毕后,应将每条微指令代码化,表7-10-1即为将图7-10-2的微程序流程图按微指令格式转化而成的“二进制微代码表”。 下面介绍指令寄存器(IR):指令寄存器用来保存当前正在执行的一条指令。当执行一条指令时,先把它从内存取到数据总线上,然后再传送至指令寄存器。指令划分为操作码和地址码字段,由二进制数构成,为了执行任何给定的指令,必须对操作码进行测试P(1),通过 节拍脉冲T4的控制以便识别所要求的操作。“指令寄存器”根据指令中的操作码译码 强置微控器单元的微地址,使下一条微指令指向相应的微程序首地址。 本系统有两种外部I/O设备,一种是二进制代码开关,它作为输入设备(INPUT DEVICE);另一种是LED块,它作为输出设备(OUTPUT DEVICE)。例如:输入时,二进制开关数据直接经过三态门送到外部数据总线上,只要开关状态不变,输入的信息也不变。输出时,将输出数据送到外部数据总线上,当LDED有效时,将数据打入输出锁存器,驱

数学建模课程设计——优化问题

在手机普遍流行的今天,建设基站的问题分析对于运营商来说很有必要。本文针对现有的条件和题目的要求进行讨论。在建设此模型中,核心运用到了0-1整数规划模型,且运用lingo 软件求解。 对于问题一: 我们引入0-1变量,建立目标函数:覆盖人口最大数=所有被覆盖的社区人口之和,即max=15 1j j j p y =∑,根据题目要求建立约束条件,并用数学软件LINGO 对其模型求解,得到最优解。 对于问题二: 同样运用0-1整数规划模型,建立目标函数时,此处假设每个用户的正常资费相同,所以68%可以用减少人口来求最优值,故问题二的目标函数为:max=∑=15 1j j j k p 上述模型得到最优解结果如下: 关键字:基站; 0-1整数规划;lingo 软件

1 问题的重述.........................3 2 问题的分析.........................4 3 模型的假设与符号的说明...................5 3.1模型的假设...................... 5 3.2符号的说明...................... 5 4 模型的建立及求解...................... 5 4.1模型的建立...................... 5 4.2 模型的求解...................... 6 5 模型结果的分析.......................7 6 优化方向..........................7 7 参考文献..........................8 8、附录........................... 9

基本模型机设计与实现.

课程设计 课程名称:计算机组成原理 设计题目:基本模型机设计与实现 学院:信息工程与自动化 专业:计算机科学与技术 年级: 学生姓名: 指导教师:王海瑞 日期: 教务处制

课程设计任务书 信息工程与自动化学院计算机专业年级 学生姓名: 课程设计题目:基本模型机设计与实现 课程设计主要内容: 利用所学过的理论知识,特别是微程序设计的思想,写出要设计的指令系统的微 程序。将所设计的微程序在计算机组成原理教学实验系统环境中进行测试,并给出测试思路和具体程序段。最后撰写出符合要求的课程设计报告。 首先要确定所设计计算机的功能和用途,设计中根据功能和用途确定指令系统, 数据的表示格式,位数,指令的编码,类型,需要设计那些指令和寻址方式。确定相 对应指令所包含的微操作以及总体结构设计之间的数据通路结构,在此基础上,就可以拟出各种信息传输路径,以及实现这些传输所需要的微指令。 设计指导教师(签字): 教学基层组织负责人(签字): 年月日

目录 一、基本模型机的设计,,,,,,,,,,,,,,,, 4 1、程序设计目的,,,,,,,,,,,,,,,, 4 2、程序设计任务和基本要求,,,,,,,,,,,, 4 3、实验原理,,,,,,,,,,,,,,,,,,,,,,, 5 二、实验内容及步骤,,,,,,,,,,,,,,,8 1.实验内容,,,,,,,,,,,,,,,,,,,8 2.实验步骤,,,,,,,,,,,,,,,,,10 3.实验情况及记录,,,,,,,,,,,,,,,14 三、总结体会,,,,,,,,,,,,,,,,,,15 四、参考文献,,,,,,,,,,,,,,,,,,16 一、基本模型机的设计 1、程序设计目的 (1)掌握计算机系统组成及内部工作机制、理解计算机各功能部件工作原理的基础上,深入掌握信息流和控制信息流的流动过程,

计算机基本模型机设计与实现

计算机基本模型机设计与实现 万红明,李明威 ——湖北省孝感学院计算机科学系 摘要:本科研项目主要在传统模型机的基础上进一步设计且实现模型机的主要组成部件(运算器,存储器,控制器,基本输入输出设备)的基本功能,结合基本硬件资源,充分利用微程序,时序,组合逻辑等控制模型机完成一些基本的指令功能。 关键词:计算机组成原理模型机微指令微程序。 一、模型机的硬件组成 计算机是由运算器、存储器、控制器以及输入输出四大主要单元组成。它们之间通过一条公共的通道进行数据的传递和控制,即总线。其中运算器主要是负责数据的逻辑和算术运算,存储器的任务就是存放我们编写的机器指令(程序)和一般的数据存储,控制器是根据读取内存中的机器指令从而对相应的指令作出分析,继而对我们的计算机发不同的控制信号。输入输出单元则是将我们需要运行的程序写入内存,再由机器运行计算得出结果,予以显示输出。下图为模型机的基本框架: 图(1) 下面我们就对模型机的硬件设计思路作一些简要的介绍(设计的重点是在微程序的设计上,在后面我们将作祥细的说明。 (1)算术逻辑运算单元 我们用的运算器是将两个74LS181进行级联做成一个八位的运算器,并且带有进位功能。当有进位产生时,在高四位的74LS181上的CN+4端输出一个高电平,经D触发器锁存输出并送致LED显示。74LS181有多种组合状态,因此会有多种不同的结果。我们在此只设计实现两个数据相加的功能。它的输入端直接连着两个锁存器(74LS273),它能够将输入端的数据送进锁存器内锁存,进而将数据送进运算器进行算术或逻辑运算。运算器运算后的结果将通过一个三态门(74LS245)后才能送到总线与其它的部件交换数据,设计中三态门的作用是使各部件正常工作而互不影响。 (2)存储单元 存储器芯片选用的是6116(2K x 8),其数据端接至数据总线,地址由地址锁存器(74LS273)给出。数据开关经一三态门(74LS245)连至数据总线,分时给出地址和数据。

计算机组成原理-简单模型机设计课设

兰州理工大学技术工程学院 计算机组成原理课程设计任务书(09级)题目:模型机设计—1 学生姓名:学号: 班级:计算机科学与技术(2)班指导老师: 一、计算机组成原理课程设计题目简介 该设计要求学成根据计算机组成原理课程所学知识,设计、开发一套简单的模型就算计。 通过对一个简单计算机的设计,以达到对计算机的基本组成、部件的功能与设计、微程序控制器的设计,微指令和微程序的编制与调试等过程有更深的了解,加深对理论课程的理解。通过模型机的设计和调试,连贯运用计算机组成原理课程学到的知识,建立计算机整体概念,加深计算机时间与空间概念的理解。 二、计算机组成原理课程设计任务 1、查阅文献资料,一般在5篇以上; 2、以教学实验用模型机为背景,通过调研、分析现有的模型机,建立带有带8位自增、自减指令的整机模型; 3、完成系统编程与测试工作; 4、撰写设计说明书; 5、做好答辩工作。 三、计算机组成原理课设设计的主要内容、功能及指标 1、根据任务要求设计整体系统的方案。 2、存储系统:使用模型机的存储模块,说明存储器的输入输出时序,模块连接方式等。 3、运算器:使用模型机的器件,组成带有片间串行进位8位移位运算功能的运算器。 4、微程序控制器模块:使用教学机的系统,设计微程序控制器。 5、设计模型机指令系统:(含设计微指令格式,微程序流程图,每条指令所对

应的微程序等)。指令系统包括下列指令:IN、OUT、STA、LDA、JMP、BZC、CLR、MOV、 ADD、SUB、ADC、ADT、INC、DEC、SBT、SBC 6、了解并说明教学模型机的输入输出模块。 7、在自己设计的指令系统基础上,编制一个汇编语言小程序并进行调试通过。 8、整机设计分模块进行,说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 四、完成课程设计报告 1、设计题目、设计任务、实验设备与器材; 2、整体设计方案,设计原理与内容; 3、画出模型机数据通路图; 4、画出设计的模型机微程序流程图和微程序; 5、说明指令系统的格式; 6、说明模块中数据和控制信号的来源、去向、功能、时序、以及模块间数据和控制信号的来源、去向、功能、时序等。 7、调试情况,调试过程中遇到的主要问题,是如何解决的;对设计和编码的回顾讨论和分析;改进设想;经验和体会等; [1]计算机组成原理课程设计提交的成果 1.设计说明书一份,内容包括: 1)中文摘要100字;关键词3-5个; 2)前言; 3) 设计的目的及设计原理; 4)模型机的逻辑结构及框架; 5) 运算器的物理结构; 6)存储器系统的组成与说明; 7)指令系统的设计与指令格式分析; 8) 微程序控制器的逻辑结构及功能; 9)微程序的设计与实现(含微指令格式、后续地址产生方法以及微程序入口地址的形式)

数学建模课程设计

营销生产策略的制定 姓名:xxxxxxx 时间:xxxxxxx 问题描述: 现有企业(甲)想在杭州市场上推销某种新产品A,请你用所学知识,根 据下设情形,分别为企业(甲)制定一个合理的营销生产策略。 1、假定杭州市场上还没有出现过产品A或类似的产品; 2、假定杭州市场上有类似的产品,且市场占有率已达到15%; 3、假定杭州市场上还没有产品A或类似的产品,但新产品A有一个服从均值为5(年)的寿命分布。

摘要: 在数学建模中,产品营销问题是一类常见的典型问题。对于产品的销售情况 一般都用Logistic模型去描述,所以本实验都用了Logistic销售模型的建模思路。Logistic回归模型,主要是用来对多因素影响的事件进行概率预测,它是普通多元线性回归模型的进一步扩展,Logistic模型是非线性模型。对于题中的三种假定,结合微分方程基本理论对在杭州市场上推销的新产品A进行研究,并为企业(甲)制定一个合理的营销生产策略。 问题1:设定新产品A价格、质量以及销售人员的销售情况等其他影响新产品销售的外在因素是相对稳定,杭州市场对产品的需求量有限,产品的销售速度与销售量和剩余需求量的积成正比三个假设,建立了Logistic销售模型并求解。得出结论,在销售量达到最大销售量的一半时,产品最为畅销。 问题2:设定类似产品A的销售速度与销售量和剩余需求量的积成正比,新产品A的需求量、类似产品的需求量、剩余需求量之和为总需求量,在假定一和假定二下,不考虑新产品A的使用寿命三个假设,不考虑消费者同时拥有新产品A 和其类似产品,建立了微分方程组销售模型并求解。得出结论,问题2中的微分方程组的驻定解不稳定。 问题3:设定了新产品A服从均值为5(年)的指数寿命分布,其的报废量与新产品A的销售量成正比,新产品A报废后,人们仍愿意进行购买三个假设,参照Logistic销售模型,建立了微分方程销售模型并求解。给出了最大需求量A及销售速度的曲线。 问题分析与解题思路 在杭州市场还没有出现过A产品或类似产品的条件下,A产品刚刚进入市场,人们对A产品不熟悉,A产品的销售速度较慢,但在逐渐的增加,人们对A产品的熟悉度增加,此时A产品的销售速度逐渐增快,当产品销售到一定数量时,人们就会停滞购买,A的销售速度减慢。 在杭州市场上有类似的产品,且市场占有率已达到15%的条件下,不考虑消费者同时拥有新产品A和其类似产品的情况,认为类似产品的市场占有率会影响新产品A的销售,且类似产品的销售模型与新产品A的销售模型相同。 在杭州市场上还没有出现过产品A或类似的产品时,考虑新产品A的寿命是有限的,即新产品A有一个服从均值为5(年)的寿命分布,新产品A的报废会使市场上的剩余销售量增加,所以,有理由认为新产品的销售速度不仅受销售量,剩余量的影响,还受到新产品A的寿命的影响。

模型机课程设计

哈尔滨理工大学 软件学院 课程设计报告 课程片上计算机系统 题目 CPU模型机设计 班级集成12-1班 专业集成电路设计与集成系统学生张铭 学号 1214020130 指导教师崔林海 2014年07 月02日

索引: 1.课程设计的目的及要求 (3) 2.处理器的设计思想和设计内容 (3) 3.设计处理器的结构和实现方法 (3) 4.模型机的指令系统 (4) 5.处理器的状态跳转操作过程 (4) 6. CPU的VHDL代码 (7) 7. 模型机在Quartus II环境下的应用 (32) 8. 仿真波形 (33) 9. 课程设计的总结 (35)

一.课程设计的目的及要求: 1.目的:了解Quartus II软件的应用,学习Quartus II环境下设计CPU的基本过程;掌握CPU设计代码的含义以及CPU的工作原理;了解CPU与内存RAM 间的连接数据的传输过程;学习在Quartus II环境下建立模型机的具体过程。融会贯通本课程各章节的内容,通过知识的综合运用,加深对计算机系统各模块的工作原理及相互联系的认识。学习设计和调试计算机的基本步骤和方法,提高使用软件仿真工具和集成电路的基本技能。培养科学研究的独立工作能力,取得工程设计与组装调试的实践和经验。 2.要求:以《计算机组成与设计》书中123页的简化模型为基础,更改其指令系统,形成设计者的CPU,在Quartus II环境下与主存连接,调试程序,观察指令的执行是否达到设计构想。 二.处理器的设计思想和设计内容: 处理器的字长为16b;包括四种指令格式,格式1、格式2、格式3的指令字长度为8b,格式4的指令字长度为16b;处理器内部的状态机包括6个状态。 关于CPU: 操作码5位,一共设计20条指令,主要包括空操作指令、中断指令、加法指令、减法指令、三种逻辑运算指令、循环移位操作指令,数据传输指令,转移类指令,特权指令,取反,取绝对值等等。 关于RAM: 地址线设置成16bits,主存空间为64words。 书中原CPU的主要修改: (1)模型机CPU指令集中的逻辑左移与逻辑右移改成逻辑循环右移与逻辑循环左移。 (2)模型机CPU指令集中的or改成not。 (3)模型机CPU指令的执行流程及状态跳转。 三.设计处理器的结构和实现方法: (指令格式) 格式1:寄存器寻址方式 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

相关主题
文本预览
相关文档 最新文档