当前位置:文档之家› 数字电子试题及答案

数字电子试题及答案

数字电子试题及答案
数字电子试题及答案

作业本

类别:河北联合大学

班级:12计算机科学技术本科班课程:数学电子技术基础

学员姓名:郑玉坤(06号)

成绩:

批阅教师:

批阅日期:

《数学电子》课程设计

类别:河北联合大学

班级:12计算机科学技术本科班题目:数学电子技术基础大作业学员姓名:郑玉坤(06号)

成绩:

批阅教师:

批阅日期:

评语:

第一次作业

一、选择题

1.十进制数128的8421BCD 码是( )。 A.10000000 B. 000100101000 C.100000000 D.100101000

2.已知函数F 的卡诺图如图1-1, 试求其最简与或表达式

3. 已知函数的反演式为

,其原函数为( )。

A .

B

C .

D .

4.对于TTL 数字集成电路来说,下列说法那个是错误的:

(A ) 电源电压极性不得接反,其额定值为5V ; (B ) 不使用的输入端接1;

(C ) 输入端可串接电阻,但电阻值不应太大; (D ) OC 门输出端可以并接。

5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T ,触发器 B.施密特触发器 C.A/D 转换器 D.移位寄存器

6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写

8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( )

A . 八 B. 五 C. 四 D. 三

10.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( )。

A . Q n+1 =A B. n

n

1n Q

A Q

A Q +=+ C. n

n

1

n Q

B Q

A Q +=+ D. Q n+1 = B

二、填空题:

1、在对称式多谐振荡器电路中,为提高振荡频率所采取的措施( )。

2、若存储器的容量为512k ×8位,则地址代码应取几位?( )

3、正数的反码与( )相同,负数的反码只需将其对应的正数的反码按位求反即可得到。

4、已知[X]原=10101100,[Y]补=11000110,用补码求[X+Y]补与[X-Y]补,[X+Y]补=( );[X-Y]补=( )

5、从制造工艺的角度可把半导体存储器分为( )、( )、HMOS 型等。

6、EEPRAM 有( )和( )两种类型。

7、主存储器是指地址范围为0——9FFFFH 的( )KB 的内存。 8、(5.75)10=( )2

9、逻辑函数的表示方法有:( )、( )、( )、( )。 10、状态机流程图使用的图形符号有三种( )框、( )框、( )框。

三、简答题:

1、竞争—冒险:

2、多谐振荡器有哪几种常见形式?

四、分析题

1.双积分A/D 转换器如图3-3所示,试回答以下问题: (20分)

(1)若被测电压Vi 的最大值为2V, 要求可分辩的电压小于0.1mV, 问二进制计数器是多少位的?

(2)若时钟脉冲频率为200kHz, 则对Vi 进行采样的时间T 1为多长?

(3)若时钟脉冲频率为200kHz, , 已知

,输出电压Vo 的最大值为5V,

积分时间常数是多少?

2.已知逻辑函数式为Y=C C B A C B A +''+''+)(画出对应的逻辑图和波形图。

五、设计题

图3-2为两个时钟发生器,图中R 1=510Ω, R 2=10K Ω,C=0.1uF 。(18分) (1) 写出触发器的状态方程及输出V 1、V 2的方程; (2) 画出555定时器的输出V O 以及V 1、V 2的波形; (3) 计算V 1的周期和脉冲宽度Tw.

第二次作业

一、选择题

1.下列A/D 转换器中转换速度最快的是( )。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型

2. 一个含有32768个存储单元的ROM ,有8个数据输出端,其地址输入端有( )个。 A. 10 B. 11 C. 12 D. 8

3. 如图1-2,在TTL 门组成的电路中,与非门的输入电流为I iL ≤–1mA ?I iH ≤20μA 。G 1输出低电平时输出电流的最大值为I OL(max)=10mA ,输出高电平时最大输出电流为I OH(max)=–0.4mA 。门G 1的扇出系数是( )。 A. 1 B. 4 C. 5 D. 10

4.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011

5. TTL 或非门多余输入端的处理是:

A. 悬空

B. 接高电平

C. 接低电平

D.接”1”

6. 有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为1101时,输出电压为( )。

A . 8.125V B.4V C. 6.25V D.9.375V

7.函数F=AB+BC ,使F=1的输入ABC 组合为( )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110 8.已知某电路的真值表如下,该电路的逻辑表达式为( )。

A .C Y = B. ABC

Y = C .C

AB Y += D .C C B Y +=

9.四个触发器组成的环行计数器最多有( )个有效状态。

A.4

B. 6

C. 8

D. 16 10.逻辑函数C B AB F +=的反函数=

F ( )

A .))((C

B B A ++ B. ))((

C B B A ++ C. C B A ++ D.C B B A + 二、填空题:

1、字线为8,位线为16,则PROM 内存为( )位?

2、调制解调器的主要功能是( )和( ),有( )式和( )式。

3、IBM PC 微机中,字节有符号整数10000011B 表示的数值是( )。

4、已知[X]反=01110110,[Y]补=00100110,用补码求[X+Y]补与[X-Y]补,[X+Y]补=( );[X-Y]补=( )

5、半导体存储器从应用角度可将其分为两大类:( );( )。

6、只读存储器ROM 可分为以下几种:( )、( )、( )、( )。

7、EPROM 存储器靠( )照射,可擦掉原有内容,使每个单元的内容为( )H 。

8、字母A 的ASC ∏码变成十进制数为65,则字母M 的ASC ∏码值( )2。

9、施密特触发器可用于( )、( )、( )。

10、时序电路的逻辑功能可以用( )方程、( )方程、( )方程全面描述。

三、简答题:

1、ROM 有哪些分类?

2、分别写出RS 触发器、JK 触发器、T 触发器、D 触发器的特性方程。 四、分析题

1.对下列Z 函数要求:(1)列出真值表;(2)用卡诺图化简;(3)画出化简后的逻辑图。

Z=C B A C B A B A ??+??+ BC=0

(1)真值表 (2)卡诺图化简

(3) 表达式 (4) 逻辑图

2.已知逻辑函数的逻辑图,试求它的逻辑函数式和波形图

五、设计题

有红、黄、绿三只指示灯,用来指示三台设备的工作情况,当三台设备都正常工作时,绿灯亮;当有一台设备有故障时,黄灯亮,当有两台设备同时发生故障时,红灯亮,当三台设备同时发生故障时,黄灯和红灯同时亮,试写出用CT74LS138实现红、黄、绿灯点亮的逻辑函数表达式,并画出接线图。

第三次作业

一、选择题

1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。

A .111 B. 010 C. 000 D. 101

3.十六路数据选择器的地址输入(选择控制)端有( )个。

A .16 B.2 C.4 D.8

4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

5.已知74LS138译码器的输入三个使能端(E1=1,E2A = E2B=0)时,地址码A2A1A0=011,则输出Y7 ~Y0是( ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111

6.对于TTL数字集成电路来说,下列说法那个是错误的:

(A)电源电压极性不得接反,其额定值为5V;

(B)不使用的输入端接1;

(C)输入端可串接电阻,但电阻值不应太大;

(D)OC门输出端可以并接。

7.欲将正弦信号转换成与之频率相同的脉冲信号,应用

A.T,触发器

B.施密特触发器

C.A/D转换器

D.移位寄存器

8.下列A/D转换器中转换速度最快的是()。

A.并联比较型

B.双积分型

C.计数型

D.逐次渐近型

9. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。

A. 10

B. 11

C. 12

D. 8

10.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I

OL(max)

=10mA,输出高电平时最大输出电流为I OH(max)=

–0.4mA 。门G1的扇出系数是()。

A. 1

B. 4

C. 5

D. 10

二、填空题:

1、按存取速度和用途可把存储器分为两大类:把存取速度快的存储器称为();把存取速度慢的存储器称为()。

2、随机读写存储器RAM又可分为以下两种()、()。

3、闪存Flash包括以下技术:()、()、()、()、()。

4、存储器片选引脚,国际上都设计为()电平有效。

5、(-1101)

2=[ ]反;(-1101)

2

=[ ]补。

6、时序逻辑电路的逻辑功能可以用()、()、()全面描述。

7、根据逻辑功能的不同特点,可以将数字电路分成两大类,:()逻辑电路、()逻辑电路。

8、消除竞争—冒险现象的方法:()、()、()。

9、触发器触发方式分为三种:()触发、()触发、()触发。

10、所谓串行加法,是指在将两个多位数相加时,采取从( )位到( )位逐为相加的方式完成相加运算。 三、简答题:

1、D/A 转换器有哪几种?

2、触发器有哪几种常见的电路结构形式?

四、分析题

1.74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几进制计数器,并画出其状态图。(20分)

74LS161逻辑功能表

2. 已知逻辑函数的真值表,试求它的逻辑函数式和逻辑图

CP

“1” “1” “1”

五、设计题

分析如图所示时序逻辑电路的逻辑功能。(要求列激励方程、状态转移方程和输出

方程,并列出初始状态Q

1Q

= 00的状态转移表,画出全状态转换图,说明电路功

能,并判断能否自启动。(本题共14分)

第四次作业

一、选择题

⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是:()A.与非门及或非门; B.与门及或门;

C.或门及异或门; D.与门及或非门.

⒉在如下所列4种门电路中,与图示非门相等效的电路是:()

⒊已知,则函数F和H的关系,应是:()

A.恒等; B.反演; C.对偶; D.不确定.

⒋若两个逻辑函数恒等,则它们必然具有唯一的:()

A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号.

⒌一逻辑函数的最小项之和的标准形式,它的特点是:()

A.项数最少; B.每个乘积项的变量数最少;

C.每个乘积项中,每种变量或其反变量只出现一次;

D.每个乘积项相应的数值最小,故名最小项.

⒍双向数据总线可以采用()构成。

A.译码器; B.三态门; C.与非门; D.多路选择器.

⒎在下列逻辑部件中,不属于组合逻辑部件的是()。

A.译码器; B.编码器; C.全加器; D.寄存器.

⒏八路数据选择器,其地址输入端(选择控制端)有()个。

A.8个 B.2个 C.3个 D.4个

⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门

⒑为产生周期性矩形波,应当选用()。

A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器

二、填空题

1.造成D/A转换器转换误差的原因有参考电压的(),运算放大器的(),模拟开关的导通内阻和(),电阻阻值的偏差等。

2.为了保证能从取样信号中将原来取样信号恢复,取样频率必须满足的条件是()。

3.并联比较型A/D转换器的转换精度主要取决于()的划分,分得越(),

4.组合逻辑电路的逻辑的抽象工作是首先分析事件的因果关系,确定()和();其次是定义逻辑状态含义;再次是列真值表。

5.权电阻网络D/A转换器的电路的优点是(),所用的电阻元件数很少;缺点是各个电阻的阻值()。6、MOS管的四种类型:()、()、()、()。

7、根据逻辑功能的不同特点,可以将数字电路分成两大类,:()逻辑电路、()逻辑电路。

8、触发器触发方式分为三种:()触发、()触发、()触发。

9、所谓串行加法,是指在将两个多位数相加时,采取从()位到()位逐为相加的方式完成相加运算。

10.与微分型单稳态触发器相比,积分型单稳态触发器具有()能力较强的优点,而缺点是输出波形的边缘()。

三、简答题

1、电平触发方式的动作特点?

2、分析同步时序逻辑电路时的一般步骤?

3)根据逻辑图写出电路的输出方程。

四、分析题

1、分析下图电路的逻辑功能,写出Y1、Y2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能(本题10分)

2、试用4选1数据选择器产生逻辑函数BC

+

=(要求逻辑代数式、画出逻辑图,

A

Y+

C

A

C

B

无逻辑图者不得分)(本题10分)

五、设计题

用与非门设计四变量的多数表决电路.当输入变量A、B、C、D有3个或以上个1时输出为1,输入为其它状态时输出为0(要求真值表、逻辑代数式、画出逻辑电路图,无逻辑电路图者不得分)(本题10分)

第五次作业

一、选择题

1. 有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为1101时,输出电压为( A )。

A . 8.125V B.4V C. 6.25V D.9.375V 2.函数F=AB+BC ,使F=1的输入ABC 组合为( )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110 3.已知某电路的真值表如下,该电路的逻辑表达式为( )。

A .C Y = B. ABC

Y = C .C

AB Y

+= D .C C B Y +=

⒋ 若两个逻辑函数恒等,则它们必然具有唯一的:(

A .真值表;

B .逻辑表达式;

C .电路图;

D .逻辑图形符号. ⒌ 一逻辑函数的最小项之和的标准形式,它的特点是:(

A .项数最少;

B .每个乘积项的变量数最少;

C .每个乘积项中,每种变量或其反变量只出现一次;

D .每个乘积项相应的数值最小,故名最小项. ⒍ 双向数据总线可以采用( )构成。

A .译码器;

B .三态门;

C .与非门;

D .多路选择器. ⒎ 在下列逻辑部件中,不属于组合逻辑部件的是( )。

A .译码器;

B .编码器;

C .全加器;

D .寄存器.

8. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

9.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111 10.对于TTL 数字集成电路来说,下列说法那个是错误的:

(A ) 电源电压极性不得接反,其额定值为5V ; (B ) 不使用的输入端接1;

(C ) 输入端可串接电阻,但电阻值不应太大; (D ) OC 门输出端可以并接。 二、填空题

1、按存取速度和用途可把存储器分为两大类:把存取速度快的存储器称为();把存取速度慢的存储器称为()。

2、逻辑函数的表示方法有:( )、( )、( )、( )。

3、状态机流程图使用的图形符号有三种( )框、( )框、( )框。

4、施密特触发器可用于( )、( )、( )。

5. 施密特触发器在电路转换时,通过电路内部的( )过程使输出电压波形的边缘变得( )。

6.单稳态触发器在外界脉冲的作用下,能从( )翻转到( ),在暂稳态维持一段时间后,再自动返回到( )。

7. 单稳态触发器暂稳态维持时间的长短取决于电路本身的( ),与触发脉冲的()和()无关。

8.与微分型单稳态触发器相比,积分型单稳态触发器具有( )能力较强的优点,而缺点是输出波形的边缘( )。

9.在D/A 转换器中通常用( )和( )来描述转换精度。

10.反馈比较型A/D 转换器中经常采用的有( )型和( )型两种方案。三、简答题(10分)

1.触发器必须具备的两个基本点?

2.组合逻辑的设计方法? 四、分析题

⒈ 如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y 1、Y 2的波形。

2.试用4选1数据选择器产生逻辑函数(要求逻辑代数式、画出逻辑图,无逻辑图者不得分)(本题10分)

BC C A C B A Y ++=

五、设计题

试用3线—8线译码器74LS138和与非门电路设计1位二进制全减器电路.输入为被减数、减数和来自低位的借位;输出为两数之差和向高位的借位信号. (要求逻辑代数式、画出

逻辑电路图,无逻辑电路图者不得分) (本题10分)

《数字电路》期末模拟试题及答案

. 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1= 2Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术练习题及答案

数 字电子技术练习题及答案 一、填空题 1、(238)10=( 11101110 )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术模拟试题4

泰山学院课程考试专用 《数字电子技术》模拟试题 4 (试卷共8页,答题时间120分钟) 一、填空题(每空 1分,共 20 分。) 1、(33)10=( )16=( )2 2、若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非门的输出为___ ___,同或门的输出为__ __。 3、一个数字信号只有 种取值,分别表示为 和 。 4、一个三态门如图1.4, 当E ′=__________时,Y=)('AB 。 5、某EPROM 有8位数据线、13位地址线,则其存储容量为 位。 6、若要构成七进制计数器,最少用 个触发器,它有 个无效状态。 7、多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 8、A/D 转换的一般步骤包括 、 、 和 。 9、欲将触发器置为“1”态,应使D R '= , D S '= 。 二、选择题(每题 2分,共 20 分。请将答案填在下面的表格内)1、在不影响逻辑功能的情况下,CMOS 与非门的多余输入端可_______。 A.接高电平 B.接低电平 C.悬空 D.通过大电阻接地 2、下图中,满足Q * =1 的触发器是_____________。

3、由四个触发器构成十进制计数器,其无效状态有__________。 A.四个 B.五个 C.六个 D.十个 4、以下电路中,欲获得一个数字系统的时钟脉冲源,应采用____________。 A .D 触发器 B.多谐振荡器 C.单稳态触发器 D.施密特触发器 5、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 6、用555定时器构成的施密特触发器的回差电压可表示为 。 A. cc V 3 1 https://www.doczj.com/doc/dd9384319.html, V 3 2 C. V cc D. cc V 4 3 7、在下列门电路中,输出端不可以并联使用的是 。 A. 三态门 B.集电极开路门(OC 门) C.具有推挽输出结构的TTL 门电路 D.CMOS 传输门 8、某A/D 转换器有8路模拟信号输入,若8路正弦输入信号的频率分别为1KHz ,…,8KHz ,则该A/D 转换器的采样频率f s 的取值应为 。 A. f s ≤1KHz B. f s =8KHz C. f s ≥16KHz D. f s ≥2KHz 9、四位环形计数器的有效状态有 个。 A. 2 B. 4 C. 6 D. 8 10、下列电路中不属于时序逻辑电路的是 。 A.计数器 B. 全加器 C.寄存器 D.分频器 1、Y 1=A )('BC +AB C ' 2、Y 2(A ,B ,C ,D )=∑m (1,3,5,7,8,9)+∑d(11,12,13,15)四、1、电路如图4.1(a)所示,各电路的CP 、A 、B 、C 波形如图(b )所示。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术模拟试题及答案

《数字电子技术》模拟试题 20分)一、填空题(每题2分,共 1511、十六进制数97 。,对应的十进制数为 0 时,输出为2”描述的是与运算的规则。、“至少有一个输入为 0 变量逻辑函数有16个最小项。、 4 3 运算。非和 4、基本逻辑运算有: 与、或 加器。半 5、两二进制数相加时,不考虑低位的进位信号是 电平。高 6、TTL器件输入脚悬空相当于输入 线、地址线和控制线。数据 7、RAM的三组信号线包括:位。最高8、 采用四位比较器对两个四位数比较时,先比较 15分)二、单项选择题(每个3分,共的国标逻辑符号中是异或门。B 1、图1 图1 C 。2、下列逻辑函数表达式中可能存在竞争冒险的是 B)(B?(A?C)F? B A )B?C)(?(A?BFF?(A?B)(B?C)F?(A?B)(B?C) D C 3、下面逻辑式中,不正确的是_ A___。 ABC?A?B?C B. A. A??ABA D. C. AA??B)A(BAAB?4、时序逻辑电路中必须 有___B___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 B 可以确定S1和S2不等价。 A. 输出相同次态不同D. 次态相同C. 输出不同 B. 10分)三、简答题(共A??B左边=(A?)(A?B)(?1A?A?B)?解:分) 1、(证明:

4B?BA?A?A12、某逻辑函数的真值表如表所示,画出卡诺图。(6分)某逻辑函数的真值表 1 表 F B A C 0 0 0 0 1 1 0 0 1 0 1 0 X 1 1 0 X 0 0 1 0 0 1 1 1 1 0 1 X 1 1 1 分)四、分析题(20 Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=CP↑;CP0=CP↑。 2)列出其驱动方程:(4分) Q1;K0==1 ;J0。Q0J1=;K1=1?Q?Q1或XX3)列出其输出方程:(1分)Z=XQ1Q0 n?1n?1?QQ1Q0Q?Q1?Q0?XQ1或Q1?Q0?XQ1Q04)求次态方程:4(分);10分)9)作状态表及状态图(5.

数字电路复习题一(简答题)

一、简答题 1、什么是触发器的空翻现象简述造成空翻现象的原因。 2、简述时序逻辑电路分析的步骤。 3、最小项的性质。 4、组合电路产生竞争冒险的原因及常用的消除竞争冒险的方法。 5、简述时序逻辑电路与组合逻辑电路的异同。 6、简述触发器的基本性质。 7、逻辑函数的表示方法有哪几种 8、反演定律和对偶定律(答案见课本27页) 9、计数器的分类

简答题参考答案 1、答:如果在一个时钟脉冲的高电平作用下,触发器的状态发生了两次或两次以上的翻转, 这叫做“空翻”。 由于是电平触发,在CP=1期间,数据输入端如果连续发生变化,触发器也连续随着变化,直到CP由1变0才停止,造成空翻现象的原因是触发器电平触发。 2、答:(1)观察电路,确定电路类型;是同步时序电路还是异步时序电路;是Mealy型时序电路还是Moore型时序电路。 (2)根据电路写出各触发器驱动方程及时钟方程(即各触发器的CP信号表达式,如果是同步时序电路,则可不写时钟方程(因为每个触发器均接同一个脉冲源,来一个时钟脉冲,每个触发器同时变化)。 Q的逻辑(3)将各触发器的驱动方程带入触发器的特性方程,写出各个触发器次态1 n 表达式(即状态方程)。 (4)根据电路写出输出逻辑表达式(输出方程)。 (5)推出时序逻辑电路的状态转换真值表、状态转换图及时序图(又称波形图)。 (6)总结和概括这个时序电路的逻辑功能。 3、答:(1)任何一组变量取值下,只有一个最小项的对应值为1; (2)任何两个不同的最小项的乘积为0; (3)任何一组变量取值下,全体最小项之和为1。 4.答:在组合电路中,当逻辑门有两个互补输入信号同时向相反状态变化时,输出端 可能产生过渡干扰脉冲的现象。常用的消除竞争冒险的方法有:输入端加滤波 电容、加封锁或选通脉冲、修改逻辑设计等。 5、答:时序逻辑电路是一种任意时刻的输出不仅取决于该时刻电路的输入,而且还与电路

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

(完整版)华南理工大学数字电子技术试卷(含答案)

诚信应考,考试作弊将带来严重后果! 华南理工大学期末考试 《数字电子技术》试卷A 注意事项:1. 考前请将密封线内填写清楚; 2. 所有答案请直接答在试卷上(或答题纸上); 3.考试形式: 闭卷; 。 题号一二三四总分 得分 评卷人 一. 单项选择题:(在每小题的备选答案中选出一个正确的答案,并将正确答案 10 题号 123456789 答案 1 A.10000000 B. 000100101000 C.100000000 D.100101000 2.已知函数F的卡诺图如图1-1, 试求其最简与 或表达式 3. 已知函数的反演式为,其 原函数为()。 A. B . C. D. 4.对于TTL数字集成电路来说,下列说法那个是错误的: (A)电源电压极性不得接反,其额定值为5V; (B)不使用的输入端接1; (C)输入端可串接电阻,但电阻值不应太大; (D)OC门输出端可以并接。 5.欲将正弦信号转换成与之频率相同的脉冲信号,应用 A.T,触发器 B.施密特触发器

C.A/D转换器 D.移位寄存器 6.下列A/D转换器中转换速度最快的是()。 A.并联比较型 B.双积分型 C.计数型 D.逐次渐近型 7. 一个含有32768个存储单元的ROM,有8个数据输出端,其地址输入端有()个。 A. 10 B. 11 C. 12 D. 8 8.如图1-2,在TTL门组成的电路中,与非门的输入电流为I iL≤–1mA?I iH≤20μA。G1输出低电平时输出电流的最大值为I OL(max)=10mA,输出高电平时最大输 出电流为I OH(max)=–0.4mA 。门G1的扇出系数是()。 A. 1 B. 4 C. 5 D. 10 9.十数制数2006.375转换为二进制数是: A. 11111010110.011 B. 1101011111.11 C. 11111010110.11 D. 1101011111.011 10. TTL或非门多余输入端的处理是: A. 悬空 B. 接高电平 C. 接低电平 D.接”1” 二.填空题(每小题2分,共20分) 1.CMOS传输门的静态功耗非常小,当输入信号的频率增加时,其功耗将______________。 2. 写出四种逻辑函数的表示方法: _______________________________________________________________; 3.逻辑电路中,高电平用1表示,低电平用0表示,则称为___逻辑; 4. 把JK触发器改成T触发器的方法是_____________。 5.组合逻辑电路是指电路的输出仅由当前的_____________决定。 6.5个地址输入端译码器,其译码输出信号最多应有_____________个。 7.输入信号的同时跳变引起输出端产生尖峰脉冲的现象叫做_____________。8.一片ROM有10根地址线,8根数据输出线,ROM共有________个存储单元。9.N个触发器组成的计数器最多可以组成_____________进制的计数器。 8.基本RS触发器的约束条件是_____________。 三.电路分析题(36分) 1.图3-1(a)所示电路, 移位寄存器原来的数据是,数据从Di顺序输入到移位寄存器,试问: (1) 在图3-1(b)所示输入波形作用下,在T1到T2期间,输出端X、Y的波形? (2) 该电路的逻辑功能?(12分)

数字电子技术模拟试题及答案

数字电子技术模拟试题及 答案 Prepared on 24 November 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码 器

5、有S1,S2两个状态,条件(15)可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同 三、简答题(共10分) 1、证明:B A+ = +(4分) A A B 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) Z 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1=;CP0=。 2)列出其驱动方程:(4分) J1=;K1=;J0=;K0=。 3)列出其输出方程:(1分) Z=

数字电子技术复习题

S?是(A) 1、由与非门组成的基本RS触发器不允许输入的变量组合R A、00 B、01 C、10 D、11 2、仅具有保持和翻转功能的触发器是(C) A、JK触发器 B、D触发器 C、T触发器 D、同步触发器 3、八输入端的编码器按二进制编码时,其输出端的个数是(B) A、2个 B、3个 C、4个 D、6个 4、用8421BCD码作为代码的计数器,至少需要的触发器个数是(C) A、2个 B、3个 C、4个 D、5个 5、按触发方式的不同,双稳态触发器可分为(B) A、高电平触发和低电平触发 B、电平触发或边沿触发 C、上升沿触发和下降沿触发 D、输入触发和时钟触发 6、四位移位寄存器构成扭环形计数器时,可构成(C)计数器。 A、模4 B、模6 C、模8 D、模10 7、下列叙述正确的是(D) A、译码器属于时序逻辑电路 B、寄存器属于组合逻辑电路 C、555定时器属于数字逻辑电路 D、计数器属于时序逻辑电路 8、不产生多余状态的计数器是(A) A、同步预置数计数器 B、异步预置数计数器 C、两种归零法都有 D、无法判断 9、关于存储器的叙述,正确的是(A) A、存储器是随机存储器和只读存储器的总称 B、存储器是计算机上的一种输入输出设备 C、计算机停电时随机存储器中的数据不会丢失 D、存储器都是用磁介质构成的 10、和其它ADC相比,双积分型ADC的转换速度(A) A、较慢 B、较快 C、极慢 D、无法判断 1、最基本的存储器件是(D) A、与门 B、或门 C、非门 D、触发器 2、具有置0、置1、保持和翻转四种功能的触发器是(A) A、JK触发器 B、D触发器 C、T触发器 D、同步触发器 3、三输入端的译码器,其输出端的个数通常是(C) A、3个 B、6个 C、8个 D、16个 4、用8421BCD码作为代码的计数器,至少需要的触发器个数是(C) A、2个 B、3个 C、4个 D、5个 5、按触发方式的不同,双稳态触发器可分为(B) A、高电平触发和低电平触发 B、电平触发或边沿触发 C、上升沿触发和下降沿触发 D、输入触发和时钟触发 6、四位移位寄存器构成环形计数器时,可构成(A)计数器。 A、模4 B、模6 C、模8 D、模10 7、下列叙述正确的是(B) A、译码器属于时序逻辑电路 B、计数器属于时序逻辑电路 C、555定时器属于数字逻辑电路 D、寄存器属于组合逻辑电路 8、同步时序逻辑电路和异步时序逻辑电路相比较,其差异在于后者(B) A、没有稳定性 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有差 9、下列触发器,没有约束条件的是(D) A、基本RS触发器 B、同步RS触发器 C、主从型RS触发器 D、边沿JK触发器 10、和其它ADC相比,双积分型ADC的转换速度(A) A、较慢 B、较快 C、极慢 D、无法判断

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术题库

一、 选择题 1. 求逻辑函数Y AB B AB =++的最简与或式( ) ()()()()1A A B AB B A B C A B B D +++++ 2. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C . F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 3. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. C B A ABC Y ++= B. C B A Y += C. C B Y +=1 D. 1 4. 求逻辑函数C B A ABC C B A ABC Y ++++=的最简与或式 A. B AB Y += B. 1=Y C. B A Y += D. AC AB ABC Y ++= 5. 求逻辑函数01234689101114(,,,)(,,,,,,,,,,)Y A B C D m m m m m m m m m m m =∑最简与或式( ) ()()()()A B CD AD B B CD ACD C B C D AD D B CD AD ++++++++ 6. 函数Y ABC AB =+的最简与或式( ) ()()()0()1A AB AB AC BC B AB AB A C BC C D ++++++ 7. 逻辑函数()Y ABC AB AB BC =+++,最少需要几个与非门可以实现此逻辑( ) (A) 2 (B) 3 (C) 4 (D) 5 8. 逻辑函数()Y CD A B ABC ACD =⊕++约束条件0AB CD +=的最简与或式( ) ()()()()A B AD AC B B AD A C C B A D AC D B AD AC ++++++++ 9. 逻辑函数(,,)Y A B C ABC AC BC =++的标准与或式为( )

数字电子技术复习题及答案

数字电子技术复习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。2=( )16=( )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 ) 和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电 平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实 现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电子技术试卷试题答案汇总

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是(与运算、或运算、非运算) 2、逻辑代数中三个基本运算规则 (代入规则、反演规则、对偶规则) 3、逻辑函数的化简有 公式法 , 卡诺图 两种方法。 4、A+B+C= A ’B ’C ’ 。 5、TTL 与非门的u I ≤U OFF 时,与非门 关闭 ,输出 高电平 ,u I ≥U ON 时,与非门 导通 ,输出 低电平 。 6、组合逻辑电路没有 记忆 功能。 7、竞争冒险的判断方法 代数方法 , 卡诺图法 。 8、触发器它2 稳态,主从RS 触发器的特性方程Q ’’=S+RQ ’ SR=0 , 主从JK 触发器的特性方Q ’’=JQ ’+K ’Q ,D 触发器的特性方程 Q ’’=D 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( C ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( C ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A (A) 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( A ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( A ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( C ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( A ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( A ) A 、施密特触发器的回差电压ΔU=U T+-U T- B 、施密特触发器的回差电压越大,电路的抗干扰能力越弱 C 、施密特触发器的回差电压越小,电路的抗干扰能力越强

相关主题
文本预览
相关文档 最新文档