当前位置:文档之家› linux内核I2C体系结构

linux内核I2C体系结构

linux内核I2C体系结构

注意:static unsigned short normal_i2c[] = { 0x4c, I2C_CLIENT_END };

里面为I2C设备的设备地址(7位地址),不算第八位的R/W控制位

例如:ADM1069的A0A1==00时,7bit 地址为:0b1001100x,所以设备地址不是0x98,而是0x4C

一、概述

谈到在linux系统下编写I2C驱动,目前主要有两种方式,一种是把I2C设备当作一个普通的字符设备来处理,另一种是利用linux I2C驱动体系结构来完成。下面比较下这两种驱动。

第一种方法的好处(对应第二种方法的劣势)有:

●思路比较直接,不需要花时间去了解linux内核中复杂的I2C子系统的操作方法。

第一种方法问题(对应第二种方法的好处)有:

●要求工程师不仅要对I2C设备的操作熟悉,而且要熟悉I2C的适配器操作;

●要求工程师对I2C的设备器及I2C的设备操作方法都比较熟悉,最重要的是写出的程序可移植性差;

●对内核的资源无法直接使用。因为内核提供的所有I2C设备器及设备驱动都是基于I2C子系统的格式。I2C适配器的操作简单还好,如果遇到复杂的I2C适配器(如:基于PCI的I2C适配器),工作量就会大很多。

本文针对的对象是熟悉I2C协议,并且想使用linux内核子系统的开发人员。

网络和一些书籍上有介绍I2C子系统的源码结构。但发现很多开发人员看了这些文章后,还是不清楚自己究竟该做些什么。究其原因还是没弄清楚I2C子系统为我们做了些什么,以及我们怎样利用I2C子系统。本文首先要解决是如何利用现有内核支持的I2C适配器,完成对I2C设备的操作,然后再过度到适配器代码的编写。本文主要从解决问题的角度去写,不会涉及特别详细的代码跟踪。

二、I2C设备驱动程序编写

首先要明确适配器驱动的作用是让我们能够通过它发出符合I2C标准协议的时序。

在Linux内核源代码中的drivers/i2c/busses目录下包含着一些适配器的驱动。如

S3C2410的驱动i2c-s3c2410.c。当适配器加载到内核后,接下来的工作就要针对具体的设备编写设备驱动了。

编写I2C设备驱动也有两种方法。一种是利用系统给我们提供的i2c-dev.c来实现一个i2c 适配器的设备文件。然后通过在应用层操作i2c适配器来控制i2c设备。另一种是为i2c设备,独立编写一个设备驱动。注意:在后一种情况下,是不需要使用i2c-dev.c的。

1、利用i2c-dev.c操作适配器,进而控制i2c设备

i2c-dev.c并没有针对特定的设备而设计,只是提供了通用的read()、write()和ioctl()等接口,应用层可以借用这些接口访问挂接在适配器上的i2c设备的存储空间或寄存器,并控制I2C设备的工作方式。

需要特别注意的是:i2c-dev.c的read()、write()方法都只适合于如下方式的数据格式(可查看内核相关源码)

图1 单开始信号时序

所以不具有太强的通用性,如下面这种情况就不适用(通常出现在读目标时)。

图2 多开始信号时序

而且read()、write()方法只适用用于适配器支持i2c算法的情况,如:

static const struct i2c_algorithm s3c24xx_i2c_algorithm = {

.master_xfer = s3c24xx_i2c_xfer,

.functionality = s3c24xx_i2c_func,

};

而不适合适配器只支持smbus算法的情况,如:

static const struct i2c_algorithm smbus_algorithm = {

.smbus_xfer = i801_access,

.functionality = i801_func,

};

基于上面几个原因,所以一般都不会使用i2c-dev.c的read()、write()方法。最常用的是ioctl()方法。ioctl()方法可以实现上面所有的情况(两种数据格式、以及I2C算法和smbus 算法)。

针对i2c的算法,需要熟悉struct i2c_rdwr_ioctl_data 、struct i2c_msg。使用的命令是I2C_RDWR。

struct i2c_rdwr_ioctl_data {

struct i2c_msg __user *msgs; /* pointers to i2c_msgs */

__u32 nmsgs; /* number of i2c_msgs */

};

struct i2c_msg {

_ _u16 addr; /* slave address */

_ _u16 flags; /* 标志(读、写) */

_ _u16 len; /* msg length */

_ _u8 *buf; /* pointer to msg data */

};

针对smbus算法,需要熟悉struct i2c_smbus_ioctl_data。使用的命令是I2C_SMBUS。对于smbus算法,不需要考虑“多开始信号时序”问题。

struct i2c_smbus_ioctl_data {

__u8 read_write; //读、写

__u8 command; //命令

__u32 size; //数据长度标识

union i2c_smbus_data __user *data; //数据

};

下面以一个实例讲解操作的具体过程。通过S3C2410操作AT24C02 e2prom。实现在AT24C02中任意位置的读、写功能。

首先在内核中已经包含了对s3c2410 中的i2c控制器驱动的支持。提供了i2c算法(非smbus类型的,所以后面的ioctl的命令是I2C_RDWR)

static const struct i2c_algorithm s3c24xx_i2c_algorithm = {

.master_xfer = s3c24xx_i2c_xfer,

.functionality = s3c24xx_i2c_func,

};

另外一方面需要确定为了实现对AT24C02 e2prom的操作,需要确定AT24C02的地址及读写访问时序。

● AT24C02地址的确定

原理图上将A2、A1、A0都接地了,所以地址是0x50。

● AT24C02任意地址字节写的时序

可见此时序符合前面提到的“单开始信号时序”

● AT24C02任意地址字节读的时序

可见此时序符合前面提到的“多开始信号时序”

下面开始具体代码的分析(代码在2.6.22内核上测试通过):

/*i2c_test.c

* hongtao_liu

*/

#include

#include

#include

#include

#include

#include

#include

#include

#define I2C_RETRIES 0x0701

#define I2C_TIMEOUT 0x0702

#define I2C_RDWR 0x0707

/*********定义struct i2c_rdwr_ioctl_data和struct i2c_msg,要和内核一致*******/

struct i2c_msg

{

unsigned short addr;

unsigned short flags;

#define I2C_M_TEN 0x0010

#define I2C_M_RD 0x0001

unsigned short len;

unsigned char *buf;

};

struct i2c_rdwr_ioctl_data

{

struct i2c_msg *msgs;

int nmsgs;

/* nmsgs这个数量决定了有多少开始信号,对于“单开始时序”,取1*/

};

/***********主程序***********/

int main()

{

int fd,ret;

struct i2c_rdwr_ioctl_data e2prom_data;

fd=open("/dev/i2c-0",O_RDWR);

/*

*/dev/i2c-0是在注册i2c-dev.c后产生的,代表一个可操作的适配器。如果不使用i2c-dev.c

*的方式,就没有,也不需要这个节点。

*/

if(fd<0)

{

perror("open error");

}

e2prom_data.nmsgs=2;

/*

*因为操作时序中,最多是用到2个开始信号(字节读操作中),所以此将

*e2prom_data.nmsgs配置为2

*/

e2prom_data.msgs=(struct

i2c_msg*)malloc(e2prom_data.nmsgs*sizeof(struct i2c_msg));

if(!e2prom_data.msgs)

{

perror("malloc error");

exit(1);

}

ioctl(fd,I2C_TIMEOUT,1);/*超时时间*/

ioctl(fd,I2C_RETRIES,2);/*重复次数*/

/***write data to e2prom**/

e2prom_data.nmsgs=1;

(e2prom_data.msgs[0]).len=2; //1个 e2prom 写入目标的地址和1个数据

(e2prom_data.msgs[0]).addr=0x50;//e2prom 设备地址

(e2prom_data.msgs[0]).flags=0; //write

(e2prom_data.msgs[0]).buf=(unsigned char*)malloc(2);

(e2prom_data.msgs[0]).buf[0]=0x10;// e2prom 写入目标的地址

(e2prom_data.msgs[0]).buf[1]=0x58;//the data to write

ret=ioctl(fd,I2C_RDWR,(unsigned long)&e2prom_data);

if(ret<0)

{

perror("ioctl error1");

}

sleep(1);

/******read data from e2prom*******/

e2prom_data.nmsgs=2;

(e2prom_data.msgs[0]).len=1; //e2prom 目标数据的地址

(e2prom_data.msgs[0]).addr=0x50; // e2prom 设备地址

(e2prom_data.msgs[0]).flags=0;//write

(e2prom_data.msgs[0]).buf[0]=0x10;//e2prom数据地址

(e2prom_data.msgs[1]).len=1;//读出的数据

(e2prom_data.msgs[1]).addr=0x50;// e2prom 设备地址

(e2prom_data.msgs[1]).flags=I2C_M_RD;//read

(e2prom_data.msgs[1]).buf=(unsigned char*)malloc(1);//存放返回值的地址。

(e2prom_data.msgs[1]).buf[0]=0;//初始化读缓冲

ret=ioctl(fd,I2C_RDWR,(unsigned long)&e2prom_data);

if(ret<0)

{

perror("ioctl error2");

}

printf("buff[0]=%x\n",(e2prom_data.msgs[1]).buf[0]);

/***打印读出的值,没错的话,就应该是前面写的0x58了***/

close(fd);

return 0;

}

以上讲述了一种比较常用的利用i2c-dev.c操作i2c设备的方法,这种方法可以说是在应用层完成了对具体i2c设备的驱动工作。

计划下一篇总结以下几点:

(1)在内核里写i2c设备驱动的两种方式:

● Probe方式(new style),如:

static struct i2c_driver pca953x_driver = {

.driver = {

.name = "pca953x",

},

.probe = pca953x_probe,

.remove = pca953x_remove,

.id_table = pca953x_id,

};

● Adapter方式(LEGACY),如:

static struct i2c_driver pcf8575_driver = {

.driver = {

.owner = THIS_MODULE,

.name = "pcf8575",

},

.attach_adapter = pcf8575_attach_adapter,

.detach_client = pcf8575_detach_client,

};

(2)适配器驱动编写方法

(3)分享一些项目中遇到的问题

希望大家多提意见,多多交流

四、在内核里写i2c设备驱动的两种方式

前文介绍了利用/dev/i2c-0在应用层完成对i2c设备的操作,但很多时候我们还是习惯为i2c设备在内核层编写驱动程序。目前内核支持两种编写i2c驱动程序的方式。下面分别介绍这两种方式的实现。这里分别称这两种方式为“Adapter方式(LEGACY)”和“Probe方式(new style)”。

(1) Adapter方式(LEGACY)

(下面的实例代码是在2.6.27内核的pca953x.c基础上修改的,原始代码采用的是本文将要讨论的第2种方式,即Probe方式)

●构建i2c_driver

static struct i2c_driver pca953x_driver = {

.driver = {

.name= "pca953x", //名称

},

.id= ID_PCA9555,//id号

.attach_adapter= pca953x_attach_adapter, //调用适配器连接设备

.detach_client= pca953x_detach_client,//让设备脱离适配器

};

●注册i2c_driver

static int __init pca953x_init(void)

{

return i2c_add_driver(&pca953x_driver);

}

module_init(pca953x_init);

● attach_adapter动作

执行i2c_add_driver(&pca953x_driver)后会,如果内核中已经注册了i2c适配器,则顺序调用这些适配器来连接我们的i2c设备。此过程是通过调用i2c_driver中的

attach_adapter方法完成的。具体实现形式如下:

static int pca953x_attach_adapter(struct i2c_adapter *adapter)

{

return i2c_probe(adapter, &addr_data, pca953x_detect);

/*

adapter:适配器

addr_data:地址信息

pca953x_detect:探测到设备后调用的函数

*/

}

地址信息addr_data是由下面代码指定的。

/* Addresses to scan */

static unsigned short normal_i2c[] =

{0x20,0x21,0x22,0x23,0x24,0x25,0x26,0x27,I2C_CLIENT_END};

I2C_CLIENT_INSMOD;

注意:normal_i2c里的地址必须是你i2c芯片的地址。否则将无法正确探测到设备。而I2C_ CLIENT_INSMOD是一个宏,它会利用normal_i2c构建addr_data。

●构建i2c_client,并注册字符设备驱动

i2c_probe在探测到目标设备后,后调用pca953x_detect,并把当时的探测地址address 作为参数传入。

static int pca953x_detect(struct i2c_adapter *adapter, int address, int kind) {

struct i2c_client *new_client;

struct pca953x_chip *chip; //设备结构体

int err = 0,result;

dev_t pca953x_dev=MKDEV(pca953x_major,0);//构建设备号,根据具体情况设定,这里我只考虑了normal_i2c中只有一个地址匹配的情况。

if (!i2c_check_functionality(adapter,

I2C_FUNC_SMBUS_BYTE_DATA| I2C_FUNC_SMBUS_WORD_DATA))//判定适配器能力

goto exit;

if (!(chip = kzalloc(sizeof(struct pca953x_chip), GFP_KERNEL))) { err = -ENOMEM;

goto exit;

}

/****构建i2c-client****/

chip->client=kzalloc(sizeof(struct i2c_client),GFP_KERNEL);

new_client = chip->client;

i2c_set_clientdata(new_client, chip);

new_client->addr = address;

new_client->adapter = adapter;

new_client->driver = &pca953x_driver;

new_client->flags = 0;

strlcpy(new_client->name, "pca953x", I2C_NAME_SIZE);

if ((err = i2c_attach_client(new_client)))//注册i2c_client

goto exit_kfree;

if (err)

goto exit_detach;

if(pca953x_major)

{

result=register_chrdev_region(pca953x_dev,1,"pca953x"); }

else{

result=alloc_chrdev_region(&pca953x_dev,0,1,"pca953x"); pca953x_major=MAJOR(pca953x_dev);

}

if (result < 0) {

printk(KERN_NOTICE "Unable to get pca953x region, error

%d\n", result);

return result;

}

pca953x_setup_cdev(chip,0); //注册字符设备,此处不详解

return 0;

exit_detach:

i2c_detach_client(new_client);

exit_kfree:

kfree(chip);

exit:

return err;

}

i2c_check_functionality用来判定设配器的能力,这一点非常重要。你也可以直接查看对应设配器的能力,如

static const struct i2c_algorithm smbus_algorithm = {

.smbus_xfer= i801_access,

.functionality= i801_func,

};

static u32 i801_func(struct i2c_adapter *adapter)

{

return I2C_FUNC_SMBUS_QUICK | I2C_FUNC_SMBUS_BYTE | I2C_FUNC_SMBUS_BYTE_DATA |

I2C_FUNC_SMBUS_WORD_DATA |

I2C_FUNC_SMBUS_BLOCK_DATA |

I2C_FUNC_SMBUS_WRITE_I2C_BLOCK

| (isich4 ? I2C_FUNC_SMBUS_HWPEC_CALC : 0);

}

●字符驱动的具体实现

struct file_operations pca953x_fops = {

.owner = THIS_MODULE,

.ioctl= pca953x_ioctl,

.open= pca953x_open,

.release =pca953x_release,

};

字符设备驱动本身没有什么好说的,这里主要想说一下,如何在驱动中调用i2c设配器帮我们完成数据传输。

目前设配器主要支持两种传输方法:smbus_xfer和master_xfer。一般来说,如果设配器支持了master_xfer那么它也可以模拟支持smbus的传输。但如果只实现

smbus_xfer,则不支持一些i2c的传输。

int (*master_xfer)(struct i2c_adapter *adap,struct i2c_msg *msgs,int num); int (*smbus_xfer) (struct i2c_adapter *adap, u16 addr,

unsigned short flags, char read_write,

u8 command, int size, union

i2c_smbus_data * data);

master_xfer中的参数设置,和前面的用户空间编程一致。现在只是要在驱动中构建相关的参数然后调用i2c_transfer来完成传输既可。

int i2c_transfer(struct i2c_adapter * adap, struct i2c_msg *msgs, int num) smbus_xfer中的参数设置及调用方法如下:

static int pca953x_write_reg(struct pca953x_chip *chip, int reg, uint16_t val) {

int ret;

ret = i2c_smbus_write_word_data(chip->client, reg << 1, val);

if (ret < 0) {

dev_err(&chip->client->dev, "failed writing register\n"); return -EIO;

}

return 0;

}

上面函数完成向芯片的地址为reg的寄存器写一个16bit的数据。

i2c_smbus_write_word_data的实现如下:

s32 i2c_smbus_write_word_data(struct i2c_client *client, u8 command, u16 value)

{

union i2c_smbus_data data;

data.word = value;

return i2c_smbus_xfer(client->adapter,client->addr,client->flags, I2C_SMBUS_WRITE,comma

nd,

I2C_SMBUS_WORD_DATA, &data);

}

从中可以看出smbus传输一个16位数据的方法。其它操作如:字符写、字符读、字读、块操作等,可以参考内核的i2c-core.c中提供的方法。

●注销i2c_driver

static void __exit pca953x_exit(void)

{

i2c_del_driver(&pca953x_driver);

}

module_exit(pca953x_exit);

● detach_client动作

顺序调用内核中注册的适配器来断开我们注册过的i2c设备。此过程通过调用i2c_driver 中的attach_adapter方法完成的。具体实现形式如下:

static int pca953x_detach_client(struct i2c_client *client)

{

int err;

struct pca953x_chip *data;

if ((err = i2c_detach_client(client)))//断开i2c_client

return err;

data=i2c_get_clientdata(client);

cdev_del(&(data->cdev));

unregister_chrdev_region(MKDEV(pca953x_major, 0), 1);

kfree(data->client);

kfree(data);

return 0;

}

(2) Probe方式(new style)

●构建i2c_driver

和LEGACY方式一样,也需要构建i2c_driver,但是内容有所不同。

static struct i2c_driver pca953x_driver = {

.driver = {

.name= "pca953x",

},

.probe= pca953x_probe, //当有i2c_client和i2c_driver匹配时调用

.remove= pca953x_remove,//注销时调用

.id_table= pca953x_id,//匹配规则

};

●注册i2c_driver

static int __init pca953x_init(void)

{

return i2c_add_driver(&pca953x_driver);

}

module_init(pca953x_init);

在注册i2c_driver的过程中,是将driver注册到了i2c_bus_type的总线上。此总线的匹配规则是:

static const struct i2c_device_id *i2c_match_id(const struct i2c_device_id *id, const struct i2c_client *client)

{

while (id->name[0]) {

if (strcmp(client->name, id->name) == 0)

return id;

id++;

}

return NULL;

}

可以看出是利用i2c_client的名称和id_table中的名称做匹配的。本驱动中的id_table 为

static const struct i2c_device_id pca953x_id[] = {

{ "pca9534", 8, },

{ "pca9535", 16, },

{ "pca9536", 4, },

{ "pca9537", 4, },

{ "pca9538", 8, },

{ "pca9539", 16, },

{ "pca9554", 8, },

{ "pca9555", 16, },

{ "pca9557", 8, },

{ "max7310", 8, },

{ }

};

看到现在我们应该会有这样的疑问,在Adapter模式中,i2c_client是我们自己构造出来的,而现在的i2c_client是从哪来的呢?看看下面的解释

●注册i2c_board_info

对于Probe模式,通常在平台代码中要完成i2c_board_info的注册。方法如下:

static struct i2c_board_info __initdata test_i2c_devices[] = {

{

I2C_BOARD_INFO("pca9555", 0x27),//pca9555为芯片名称,0x27为芯片地址

.platform_data = &pca9555_data,

}, {

I2C_BOARD_INFO("mt9v022", 0x48),

.platform_data = &iclink[0], /* With extender */

}, {

I2C_BOARD_INFO("mt9m001", 0x5d),

.platform_data = &iclink[0], /* With extender */

},

};

i2c_register_board_info(0,

test_i2c_devices,ARRAY_SIZE(test_i2c_devices)); //注册

i2c_client就是在注册过程中构建的。但有一点需要注意的是i2c_register_board_info 并没有EXPORT_SYMBOL给模块使用。

●字符驱动注册

在Probe方式下,添加字符驱动的位置在pca953x_probe中。

static int __devinit pca953x_probe(struct i2c_client *client,const struct

i2c_device_id *id)

{

……

/****字符设备驱动注册位置****/

……

return 0;

}

●注销i2c_driver

static void __exit pca953x_exit(void)

{

i2c_del_driver(&pca953x_driver);

}

module_exit(pca953x_exit);

●注销字符设备驱动

在Probe方式下,注销字符驱动的位置在pca953x_remove中。

static int __devinit pca953x_remove (struct i2c_client *client)

{

……

/****字符设备驱动注销的位置****/

……

return 0;

}

● I2C设备的数据交互方法(即:调用适配器操作设备的方法)和Adapter方式下相同。I2C总线原理及应用实例

I2C(Inter-Integrated Circuit)总线是一种由PHILIPS公司开发的两线式串行总线,用于连接微控制器及其外围设备。I2C总线产生于在80年代,最初为音频和视频设备开发,如今主要在服务器管理中使用,其中包括单个组件状态的通信。例如管理员可对各个组件进行查询,以管理系统的配置或掌握组件的功能状态,如电源和系统风扇。可随时监控内存、硬盘、网络、系统温度等多个参数,增加了系统的安全性,方便了管理。

1 I2C总线特点

I2C总线最主要的优点是其简单性和有效性。由于接口直接在组件之上,因此I2C总线占用的空间非常小,减少了电路板的空间和芯片管脚的数量,降低了互联成本。总线的长度可高达25英尺,并且能够以10Kbps的最大传输速率支持40个组件。I2C总线的另一个优点是,它支持多主控(multimastering),其中任何能够进行发送和接收的设备都可以成为主总线。一个主控能够控制信号的传输和时钟频率。当然,在任何时间点上只能有一个主控。

2 I2C总线工作原理

2.1 总线的构成及信号类型

I2C总线是由数据线SDA和时钟SCL构成的串行总线,可发送和接收数据。在CPU与被控IC之间、IC与IC之间进行双向传送,最高传送速率100kbps。各种被控制电路均并联在这条总线上,但就像电话机一样只有拨通各自的号码才能工作,所以每个电路和模块都有唯一的地址,在信息的传输过程中,I2C总线上并接的每一模块电路既是主控器(或被控器),又是发送器(或接收器),这取决于它所要完成的功能。CPU发出的控制信号分为地址码和控制量两部分,地址码用来选址,即接通需要控制的电路,确定控制的种类;控制量决定该调整的类别(如对比度、亮度等)及需要调整的量。这样,各控制电路虽然挂在同一条总线上,却彼此独立,互不相关。

I2C总线在传送数据过程中共有三种类型信号,它们分别是:开始信号、结束信号和应答信号。

开始信号:SCL为高电平时,SDA由高电平向低电平跳变,开始传送数据。

结束信号:SCL为低电平时,SDA由低电平向高电平跳变,结束传送数据。

应答信号:接收数据的IC在接收到8bit数据后,向发送数据的IC发出特定的低电平脉冲,表示已收到数据。CPU向受控单元发出一个信号后,等待受控单元发出一个应答信号,CPU接收到应答信号后,根据实际情况作出是否继续传递信号的判断。若未收到应答信号,由判断为受控单元出现故障。

目前有很多半导体集成电路上都集成了I2C接口。带有I2C接口的单片机有:CYGNAL 的 C8051F0XX系列,PHILIPSP87LPC7XX系列,MICROCHIP的PIC16C6XX系列等。很多外围器件如存储器、监控芯片等也提供I2C接口。

3 总线基本操作

I2C规程运用主/从双向通讯。器件发送数据到总线上,则定义为发送器,器件接收数据则定义为接收器。主器件和从器件都可以工作于接收和发送状态。总线必须由主器件(通常为微控制器)控制,主器件产生串行时钟(SCL)控制总线的传输方向,并产生起始和停止条件。SDA线上的数据状态仅在SCL为低电平的期间才能改变,SCL为高电平的期间,SDA状态的改变被用来表示起始和停止条件。参见图1。

图1 串行总线上的数据传送顺序

3.1 控制字节

在起始条件之后,必须是器件的控制字节,其中高四位为器件类型识别符(不同的芯片类型有不同的定义,EEPROM一般应为1010),接着三位为片选,最后一位为读写位,当为1时为读操作,为0时为写操作。如图2所示。

图2 控制字节配置

3.2 写操作

写操作分为字节写和页面写两种操作,对于页面写根据芯片的一次装载的字节不同有所不同。关于页面写的地址、应答和数据传送的时序参见图3。

图3 页面写

3.3 读操作

读操作有三种基本操作:当前地址读、随机读和顺序读。图4给出的是顺序读的时序图。应当注意的是:最后一个读操作的第9个时钟周期不是“不关心”。为了结束读操作,主机必须在第9个周期间发出停止条件或者在第9个时钟周期内保持SDA为高电平、然后发出停止条件。

图4 顺序读

4 实例:X24C04与MCS-51单片机软硬件的实现

X24C04是XICOR公司的CMOS 4096位串行EEPROM,内部组织成512×8位。16字节页面写。与MCS-51单片机接口如图5所示。由于SDA是漏极开路输出,且可以与任何数目的漏极开路或集电极开路输出“线或”(wire-Ored)连接。上拉电阻的选择可参考X24C04的数据手册。下面是通过I2C接口对X24C04进行单字节写操作的例程。流程图及源程序如下:

图5 X24C04与51单片机接口

;名称:BSENT

;描述:写字节

;功能:写一个字节

;调用程序:无

;输入参数:A

;输出参数:无

BSEND: MOV R2,#08H ;1字节8位

SENDA: CLR P3.2 ;

RLC A ;左移一位

MOV P3.3,C ;写一位

SETB P3.2

DJNZ R2,SENDA ;写完8个字节?

CLR P3.2 ;应答信号

SETB P3.3

SETB P3.2

RET

图6 流程图

5 结束语

在I2C总线的应用中应注意的事项总结为以下几点 :

1)严格按照时序图的要求进行操作,

2)若与口线上带内部上拉电阻的单片机接口连接,可以不外加上拉电阻。

3)程序中为配合相应的传输速率,在对口线操作的指令后可用NOP指令加一定的延时。4)为了减少意外的干扰信号将EEPROM内的数据改写可用外部写保护引脚(如果有),或者在EEPROM内部没有用的空间写入标志字,每次上电时或复位时做一次检测,判断EEPROM是否被意外改写。

I2C 总线接口逻辑分析

1 前言

I2C总线是具备多CPU系统所需的包括仲裁和高低速设备同步等功能的高性能串行总线。它以两根连线实现完善的全双工数据传送,是各种总线中使用信号线根数最少,并具有自动寻

址、多主机时钟同步和仲裁等功能的总线。

目前世界上采用的I2C总线有两种规范,它们分别是由荷兰飞利浦公司和日本索尼公司提出的。现在广泛采用的是飞利浦公司的I2C总线技术规范,它已成为被电子行业认可的总线标准。

由于I2C总线具有标准的规范及众多带I2C接口的外围器件,使得使用I2C总线设计计算机系统变得十分方便、灵活,体积也小,因此在各类实际应用中得到广泛使用。

2 I2C总线的基本原理

2.1 I2C总线基本结构

I2C总线系统结构灵活,易于模块化、标准化设计。I2C总线只有两根信号线,一根是数据线SDA,另一根是时钟线SCL。所有进入I2C总线系统中的器件都带有I2C总线接口,符合I2C 总线电气规范的特性;而且采用纯软件寻址方法,无需器件片选线的连接。CPU不仅能通过指令将某个功能器件挂靠或摘离总线,还可对其工作状况进行检测,从而实现对硬件系统即简单又灵活的扩展与控制。各器件供电可不同,但需共地。另外,SDA、SCL需分别接上拉电阻。

SDA、SCL都是双向的,输出电路用于向总线上发送数据,输入电路用于接收总线上的数据。当总线空闲时,两根线都是高电平。连接总线的器件的输出端电路必须是集电极开路输出或开漏输出,以具有线“与”功能。I2C总线的数据传送速率在标准模式下可达100kbit/s,快速模式下可达400kbit/s,高速模式下则可达3.4Mbit/s。

I2C总线接口电路结构如图1所示。

2.2 I2C总线数据传送

I2C总线数据传输时必须遵循规定的数据传送格式,如图2所示为一次完整的数据传送格式。启动信号表明一次传送的开始,其后为寻址字节,该寻址字节由高7位地址和最低1位方向位组成:方向位为“0”表明写操作,“1”表明读操作;在寻址字节后是由方向位指定读、写操作的数据字节与应答位;在数据传送完成后为停止信号。在“启动”与“停止”之间传送的数据字节数从理论上来说没有限制,但每个字节必须为8位,而且每个传送的字节后面必须跟一个应答位。

当SCL为高电平时,SDA由高电平跳变为低电平,定义为启动信号;当SCL为低电平时,SDA由低电平跳变为高电平,定义为停止信号。

在SCL为高电平时,SDA上数据需保持稳定方被认为有效;只有在SCL为低电平时,才允许SDA电平状态变化。

2.3时钟信号的同步

在I2C总线上传送信息时的时钟同步信号是由挂接在SCL线上的所有器件的逻辑“与”完成的。SCL线上由高电平到低电平的跳变将影响到这些器件,一旦某个器件的时钟信号下跳为低电平,将使SCL线一直保持低电平,使SCL线上的所有器件开始低电平期。此时,低电平周期短的器件的时钟由低至高的跳变并不能影响SCL线的状态,于是这些器件将进入高电平等待的状态。当所有器件的时钟信号都上跳为高电平时,低电平期结束,SCL线被释放返回高电平,即所有的器件都同时开始它们的高电平期。其后,第一个结束高电平期的器件又将SCL线拉成低电平。这样就在SCL线上产生一个同步时钟。可见,时钟低电平时间由时钟低电平期最长的器件确定,而时钟高电平时间由时钟高电平期最短的器件确定。

2.4 总线竞争的仲裁

总线上可能挂接有多个器件,有时会发生两个或多个主器件同时想占用总线的情况,这种情况叫做总线竞争。I2C总线具有多主控能力,可以对发生在SDA线上的总线竞争进行仲裁,其仲裁原则是这样的:当多个主器件同时想占用总线时,如果某个主器件发送高电平,而另一个主器件发送低电平,则发送电平与此时SDA总线电平不符的那个器件将自动关闭其输出级。总线竞争的仲裁是在两个层次上进行的。首先是地址位的比较,如果主器件寻址同一个从器件,则进入数据位的比较,从而确保了竞争仲裁的可靠性。由于是利用I2C总线上的信息进行仲裁,因此不会造成信息的丢失。

3 I2C总线接口逻辑的构成

3.1 I2C总线接口电路的结构

I2C总线接口逻辑框图如图5所示。

在电路设计中,各功能块的硬件实现介绍如下。

启动、停止检测电路应用两个D触发器来分别响应SDA在SCL为高电平时的电平跳变。

移位寄存器电路由9个D触发器串联成9级移位寄存器,包括8位字节和1位应答位,实现SDA上数据的串并行转换。寄存器复位值为“111111110”,接收8位字节后为

相关主题
文本预览
相关文档 最新文档