当前位置:文档之家› 板式换热器选型设计对单板面积和流速的选择

板式换热器选型设计对单板面积和流速的选择

板式换热器选型设计对单板面积和流速的选择
板式换热器选型设计对单板面积和流速的选择

在板式换热器的选型设计中,单个板片面积的选择直接决定了板式换热器能否高能、经济的实现预定的换热效果。当单个板片的面积过小时,板式换热器总的板片数就要增加,从而导致板式换热器的占地面积也会增大,整个换热流程数增多,相应的板式换热器的阻力降也会增大;相反,当板式换热器的单个板片面积过大时,尽管板式换热器的占地面积和阻力减少了,却板间流速是不能保证的。所以,在对单个板片面积选择时,一定要充分考虑具体的工况,选择合适的单板面积。

艾瑞德板式换热器(江阴)有限公司作为专业的可拆式板式换热器生产商和制造商,专注于可拆式板式换热器的研发与生产。ARD艾瑞德专业生产可拆式板式换热器(PHE)、换热器密封垫(PHEGASKET)、换热器板片(PHEPLATE)并提供板式换热器维护服务(PHEMAINTENANCE)的专业换热器厂家。

ARD艾瑞德拥有卓越的设计和生产技术以及全面的换热器专业知识,一直以来ARD致力于为全球50多个国家和地区的石油、化工、工业、食品饮料、电力、冶金、造船业、暖通空调等行业的客户提供高品质的板式换热器,良好地运行于各行业,ARD已发展成为可拆式板式换热器领域卓越的厂家。

ARD艾瑞德同时也是板式换热器配件(换热器板片和换热器密封垫)领域专业的供应商和维护商。能够提供世界知名品牌(包括:阿法拉伐/AlfaLaval、斯必克

/SPX、安培威/APV、基伊埃/GEA、传特/TRANTER、舒瑞普/SWEP、桑德斯/SONDEX、艾普尔.斯密特/API.Schmidt、风凯/FUNKE、萨莫威孚/Thermowave、维卡勃Vicarb、东和恩泰/DONGHWA、艾克森ACCESSEN、MULLER、FISCHER、REHEAT等)的所有型号将近2000种的板式换热器板片和垫片,ARD艾瑞德实现了与各品牌板式换热器配件的完全替代。全球几十个国家的板式换热器客户正在使用ARD

提供的换热器配件或接受ARD的维护服务(包括定期清洗、维修及更换配件等维护服务)。

无论您身在何处,无论您有什么特殊要求,ARD都能为您提供板式换热器领域的系统解决方案。

介质在板式换热器的流动速度,直接影响的是板式换热器的换热性能和流体的压力降,介质的流动速度高,其阻力降也会增大,这时,换热系数也会高;反之,当介质的流动速度低时,其阻力降变小,换热系统也低。一般板间的平均流速为0.2—0.8m/s(主流线上的流速要比平均值高4-5倍)。当流速低于0.2m/s 时,流体就达不到湍流状态,而且会很容易形成较大面积的死角区,流速过高又会导致阻力降剧增。在具体设计时,可以先确定一个流速,计算其阻力降是否在给定的范围内;也可以按照给定的压力降求出流速的初选值。

板式换热器选型参数表

选择板式换热器要注意以下三个事项 1、板式换热器板型的选择板片型式或波纹式应根据换热场合的实际需要而定。对流量大允许压降小的情况,应选用阻力小的板型,反之选用阻力大的板型。根据流体压力和温度的情况,确定选择可拆卸式,还是钎焊式。确定板型时不宜选择单板面积太小的板片,以免板片数量过多,板间流速偏小,传热系数过低,对较大的换热器更应注意这个问题。艾瑞德每种规格的板片,均具有至少两个板型,采用热混合技术,可以综合换热器的传热和压降,使其运行在最佳工作点。内旁通,双流道技术和不等流通截面积装配为两侧介质流量相差较大的工况提供了完美的解决方案。ARD艾瑞德板式换热器(江阴)有限公司板式换热器有AB系列、AM系列、AL系列、AP系列、AS系列等几大系列百余种板型。各种型号都有深波纹、浅波纹、大角度、小角度等,完全确保满足不同用户的需要,特殊工况可按用户需要专门设计制造。 2、流程和流道的选择流程指板式换热器内一种介质同一流动方向的一组并联流道,而流道指板式换热器内,相邻两板片组成的介质流动通道。一般情况下,将若干个流道按并联或串联的费那个是连接起来,以形成冷、热介质通道的不同组合。流程组合形式应根据换热和流体阻力计算,在满足工艺条件要求下确定。尽量使冷、热水流道内的对流换热系数相等或接近,从而得到最佳的传热效果。因为在传热表面两侧对流换热系数相等或接近时传热系数获得较大值。虽然板式换热器各板间流速不等,但在换热和流体阻力计算时,仍以平均流速进行计算。由于“U”形单流程的接管都固定在压紧板上,拆装方便。 3、压降校核在板式换热器的设计选型使,一般对压降有一定的要求,所以应对其进行校核。如果校核压降超过允许压降,需重新进行设计选型计算,直到满足工艺要求为止。 艾瑞德板式换热器(江阴)有限公司是专业生产可拆式板式换热器(PHE)、换热器密封垫(PHE GASKET)、换热器板片(PHE PLATE)并提供板式

板式换热器选型计算书

目录 1、目录 1 2、选型公式 2 3、选型实例一(水-水) 3 4、选型实例二(汽-水) 4 5、选型实例三(油-水) 5 6、选型实例四(麦芽汁-水) 6 7、附表一(空调采暖,水-水)7 8、附表二(空调采暖,汽-水)8 9、附表三(卫生热水,水-水)9 10、附表四(卫生热水,汽-水)10 11、附表五(散热片采暖,水-水)11 12、附表六(散热片采暖,汽-水)12

板式换热器选型计算 1、选型公式 a 、热负荷计算公式:Q=cm Δt 其中:Q=热负荷(kcal/h )、c —介质比热(Kcal/ Kg.℃)、m —介质质量流量(Kg/h )、Δt —介质进出口温差(℃)(注:m 、Δt 、c 为同侧参数) ※水的比热为1.0 Kcal/ Kg.℃ b 、换热面积计算公式:A=Q/K.Δt m 其中:A —换热面积(m 2)、K —传热系数(Kcal/ m 2.℃) Δt m —对数平均温差 注:K值按经验取值(流速越大,K值越大。水侧板间流速一般在0.2~0.8m/s 时可按上表取值,汽侧 板间流速一般在15m/s 以时可按上表取值) Δt max - Δt min T1 Δt max Δt min Δt max 为(T1-T2’)和(T1’-T2)之较大值 Δt min 为(T1-T2’)和(T1’-T2)之较小值 T T1’ c 、板间流速计算公式: T2 其中V —板间流速(m/s )、q----体积流量(注意单位转换,m 3/h – m 3/s )、 A S —单通道截面积(具体见下表)、n —流道数 2、板式换热器整机技术参数表: 计压力1.0Mpa 、垫片材质EPDM 、总换热面积为9 m 2 板式换热器。 注:以上选型计算方法适用于本公司生产的板式换热器。 选型实例一(卫生热水用:水-水) Ln Δt m =

管道流速选择原则

管道尺寸选择原则 一、液体工艺介质 类别最大允许压降流速 kg/cm2/100m m/s (1) 一般0.92 1.5~4.6 液体密度<1600kg/cm2 1.5~2.4 液体密度<800kg/cm2 1.8~3.0 液体密度<320kg/cm2 3.0~4.6 粘度(cp) 管径(mm) 0.1~0.5 1.0 25 0.5~1.1 50 0.7~1.5 100 1.0~2.0 50.0 25 0.5~0.9 50 0.7~1.0 100 1.0~1.6 100.0 25 0.3~0.6 50 0.5~0.7 100 0.7~1.0 200 1.2~1.6 1000.0 25 0.1~0.2 50 0.16~0.25 100 0.25~0.35 200 0.35~0.55 (2) 泵吸入口 饱和液体0.12 0.6~1.8 过冷液体0.23 1.2~2.4 (3) 泵排出口 0~60m3/h 1.38 1.8~2.4 60~160m3/h 0.92 2.4~3.0 >160m3/h 0.46 3.0~4.6 (4) 容器、塔器底部排出管线0.14 1.2~1.8 (5) 再沸器入口0.03 0.3~1.2 (6) 冷凝器出口0.11 0.9~1.8 (7) 冷却管线0.09 0.6~1.2 (8) 塔器供液管线0.14 1.2~1.8

二、气体工艺介质 类别最大允许压降流速 kg/cm2/100m m/s (1) 一般 压力等级 >35.0 kg/cm2G 0.46 7.0~20.0 14.0~35.0 kg/cm2G 0.35 7.0~20.0 10.5~14.0 kg/cm2G 0.14 7.0~20.0 3.5~10.5 kg/cm2G 0.07 10.0~30.0 0.0~3.5 kg/cm2G 0.03 10.0~30.0 真空0.02 10.0~30.0 换气用风道 横置 4.0~7.0 竖置 2.0~5.0 排烟 烟道内 2.0~3.0 烟筒内 4.0~7.0 (2) 压缩机 进口管线0.12 20.0~45.0 排出管线0.23 10.0~25.0 (3) 塔器周边管线 >2.5 kg/cm2G 0.046~0.12 7.0~20.0 0.0~2.5 kg/cm2G 0.046~0.12 10.0~30.0 真空0.012~0.023 10.0~30.0

四选一数据选择器源程序

四选一数据选择器源程序 Library ieee; Use ieee.std_logic_1164.all; Entity mux4_2 is Port (din: in std_logic_vector(3 downto 0); a,b : in std_logic; S: out std_logic); End; Architecture with_when of mux4_2 is Signal sel : std_logic_vector(1 downto 0); Begin Sel<=a&b; S<=din(0) when sel=“00”else din(1)when sel= “01” else din(2)when sel= “10” else din(3);---见程序说明。 Architecture with_select of mux4 is Signal sel :std_logic_vector(1 downto 0); begin sel<=a&b; with sel select s<=din(0) when “00”, s<=din(1) when “01”,

s<=din(2) when “10”, s<=din(3) when “11”, …Z?when others; End; 程序说明: 1.本程序中含有两个结构体,with_when和with_select,max+plus软 件系统自动执行几何位置处于最后的机构体with_select. 2.结构体with_when是用并行条件信号赋值语句描述四选一数据选 择器。注意,最后一个输出din(3)不含有when子句;在s表达式中只有一个分号(;)。 3.结构体with_select.是用并行选择信号赋值语句描述四选一数据选 择器。注意,选择信号赋值语句中选择条件与case语句相似,不允许条件重叠和涵盖不全。由于a,b的值除了‘1’‘0’外,还有其他7个值,所以要用when others代表其他值,以穷尽所有可能值。 4.同一个设计任务,可以用不同的语句进行描述, 5.本程序中din为输入4位矢量信号。 实例2 3线----8线译码器 一、设计任务 描述一个3线-8线译码器,使能端为g1、g2a、g3b,地址选择端为a、 b、c,输出端为总线y。 二、算法设计

压缩空气管道的选择

d=(Q/v)1/2 d为管道内径,mm d为管道内径,mm Q为介质容积流量,m3/h v为介质平均流速,m/s,此处压缩气体取流速10-15m/s。 计算,d=48.5mm,实际取57×管道即可。 说明,上述计算为常温下的计算,输送高温气体另行计算为宜。 上述Q指实际气体流量,当指标况下应换算为实际气体流量,由pv=nRT公式可推导出。 一、空压管道设计属于压力管道范畴(压力大于,管径大于25MM),你所在的单位应持有《中华人民共和国特种设备设计许可证》。 二、空压站及管道设计,应参照有关规范及相关设计手册。 1、GB50029-2003 压缩空气站设计规范 2、GB50316-2000 工业金属管道设计规范 3、动力管道设计手册机械工业出版社 三、压力管道设计,应按持证单位的《设计质量管理手册》《压力管道设计技术规定》《设计管理制度》等工作程序进行,这是单位设计平台的有效文件,有利于设计工作的正常开展。 四、设计前应有相关设计参数,你的问题中没有说明,无法具体回答。 五、问题1 ①管材的使用要求应按GB50316-2000执行,参照相关的材料章节。 ②公称直径为表征管子、管件、阀门等囗径的名义内直径,其实际数值与内径并不完全相同。钢管是按外径和壁厚系列组织生产的,管道的壁厚应参照GB50316中金属管道组成件耐压强度计算等有关章节。根据GB/8163或GB3087或GB6479或GB5310,选用壁厚应大于计算壁厚。 问题2 ①压力管道的连接应以焊接为主,阀门、设备接囗和特殊要求的管均应用法兰连接。 ②有关阀门的选用建议先了解一下阀门的类型、功

能、结构形式、连接形式、阀体材料等。压缩空气管可选用截止阀和球阀,大管径用截止阀,小管径用球阀。 一为安全,二为经济,所谓安全,就是有毒易燃易爆的介质,比如乙炔、纯氧管道,这些介 质一旦流速过快, 有爆炸等安全方面的危险, 所谓经济, 就是要算经济账, 比如你的压缩空 气,都是用压缩机打出来的,压缩机要消耗电,或者消耗蒸汽,要耗电就要算钱,经济流速 的选择就是因流速而引起的压力降不能过大,要在经济的范围之内。 何谓经济?拿你帖子里的数据举个很简单的例子就知道了: 压缩空气 P= MPaG,T=30℃(空压机冷却后大致都是这个温度),密度ρ=kg/m3,标态流量V0=1000 Nm3/h,工况流量V=125 m3/h,质量流量W=1292 kg/h,管道57X3.5mm,di=50mm,管长L=100m(含管件当量长度),管道绝对粗糙度0.2mm,摩擦系数λ取,空压机功率110 kW。 上面这组数据在工程现场楼主可随意取得,就上面这组数据简单的计算就可知道什么叫 “经济流速”:管道流速u= m/s,那么这个流速到底经济与否呢?要看阻力损失在空压机功率中所占比 例而定,阻力损失 ΔP=ρ.λ.(L/d).(u^2/2)=96788Pa= MPa,也就说经过100m长的管道管件后,压力自MPaG下降到了~ MPaG,阻力损失折算成功率损失ΔW=G.λ.(L/d).(u^2/2)=(1292/3600)X(9346/1000)=kW,占压缩机总能耗的110=% 看到了吗?在经历了100m后,损失了kW的功率,因为这段管道,每小时就有度电没了,一年按8000小时计就是26800度电,每度电按元,仅此一项,每年13400元就没了,悄无声息地没了。如果你把这根管道换成的DN38的管道,100m管道后的压力就只有MPaG了,压力保不住了,相应的功率损失更大,可达20 kW,每年83000元没了,这样的损失是无法接受的,也无法容忍。很自然,你

八选一数据选择器

《集成电路设计实践》报告 题目:8选1数据选择器 院系:自动化学院电子工程系 专业班级:微电121班 学生学号:3120433003 学生姓名:王瑜 指导教师姓名:王凤娟职称:讲师起止时间:2015-12-21---2016-1-9 成绩:

一、设计任务 1) 依据8选1数据选择器的真值表,给出八选一MUX电路图,完成由电路图到晶体管级的转化(需提出至少2种方案); 2) 绘制原理图(Sedit),完成电路特性模拟(Tspice,瞬态特性),给出电路最大延时时间; 3) 遵循设计规则完成晶体管级电路图的版图,流程如下:版图布局规划-基本单元绘制-功能块的绘制-布线规划-总体版图); 4) 版图检查与验证(DRC检查); 5) 针对自己画的版图,给出实现该电路的工艺流程图。 二、电路设计方案的确定 数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下所示 数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路,函数发生器及数码比较器

等,常见的数据比较器有2选1,4选1,8选1,16选1电路。 示意图 在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器。

数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号。 本次设计的是8选1数据选择器。选择控制端(地址端)为K2,K1,K0,按二进制译码,从8个输入数据D0-D7中,选择一个需要的数据送到输出端Y。 根据多路开关的开关状态(地址码)K2,K1,K0的状态选择D0-D7中某一个通道的数据输送到输出端Y。 如:K2K1K0=000,则选择D0数据到输出端,即Y=D0。 如:K2K1K0=001,则选择D1数据到输出端,即Y=D1,其余类推。 8选1 MUX功能表如下: K2 K1 K0 Y 0 0 0 D0 0 0 1 D1 0 1 0 D2 0 1 1 D3 1 0 0 D4 1 0 1 D5 1 1 0 D6 1 1 1 D7

板式换热器选型计算

板式换热器选型计算 板式换热器是一种高效紧凑型热交换设备,它具有传热效率高、阻力损失小、结构紧凑、拆装方便、操作灵活等优点,目前广泛应用于冶金、机械、电力、石油、化工、制药、纺织、造纸、食品、城镇小区集中供热等各个行业和领域,因此掌握板式换热器的选型计算对每个工程设计人员都是非常重要的。目前板式换热器的选型计算一般分为手工简易算法、手工标准算法及计算机算法三种,以下就三种算法的特点进行简要的说明。 一、手工简易算法 计算公式:F=Wq/(K*△T) 式中F —换热面积m2 Wq—换热量W K —传热系数W/m2·℃ △T—平均对数温差℃ 根据选定换热系统的有关参数,计算换热量、平均对数温差,设定传热系数,求出换热面积。选定厂家及换热器型号,计算板间流速,通过厂家样本提供的传热特性曲线及流阻特性曲线,查出实际传热系数及压降。若实际传热系数小于设定传热系数,则应降低设定传热系数,重新计算。若实际传热系数大于设定传热系数,而实际压降大于设定压降,则应进一步降低设定传热系数,增大换热面积,重新计算。经过反复校核,直到计算结果满足换热系统的要求,最终确定换热器型号及换热面积大小。这种算法的优点是计算简单,步骤少,时间短;缺点是结果不准确,应用范围窄。造成结果不准确的原因主要是样本所提供的传热特性曲线及流阻特性曲线是一定工况条件下的曲线,而设计工况可能与之不符。此外样本所提供的传热特性曲线及流阻特性曲线仅为水―水换热系统,在使用中有很大的局限性。

热介质 进出口温度℃Th1 Th2 流量m3/h Qh 压力损失(允许值)MPa △Ph 冷介质 进出口温度℃Tc1 Tc2 流量m3/h Qc 压力损失(允许值)MPa △Pc (二)物性参数 物性温度℃Th=(Th1+Th2)/2 Tc=(Tc1+Tc2)/2 介质重度Kg/m3γh γc 介质比热KJ/kg·℃Cph Cpc 导热系数W/m·℃λh λc 运动粘度m2/s νh νc 普朗特数Prh Prc (三)平均对数温差(逆流) △T=((Th1-Tc2)-(Th2-Tc1))/ln((Th1-Tc2)/(Th2-Tc1)) 或△T=((Th1-Tc2)+(Th2-Tc1))/2 (分子等于零) (四)计算换热量 Wq=Qh*γh*Cph*(Th1-Th2)=Qc*γc*Cpc*(Tc2-Tc1) W (五)设备选型 根据样本提供的型号结合流量定型号,主要依据于角孔流速。即:

板式换热器选型与计算方法

板式换热器选型与计算方法 板式换热器的选型与计算方法 板式换热器的计算方法 板式换热器的计算是一个比较复杂的过程,目前比较流行的方法是对数平均温差法和NTU法。在计算机没有普及的时候,各个厂家大多采用计算参数近似估算和流速-总传热系数曲线估算方法。目前,越来越多的厂家采用计算机计算,这样,板式换热器的工艺计算变得快捷、方便、准确。以下简要说明无相变时板式换热器的一般计算方法,该方法是以传热和压降准则关联式为基础的设计计算方法。 以下五个参数在板式换热器的选型计算中是必须的: 总传热量(单位:kW). 一次侧、二次侧的进出口温度 一次侧、二次侧的允许压力降 最高工作温度 最大工作压力 如果已知传热介质的流量,比热容以及进出口的温度差,总传热量即可计算得出。 温度 T1 = 热侧进口温度 T2 = 热侧出口温度 t1 = 冷侧进口温度 t2= 冷侧出口温度 热负荷 热流量衡算式反映两流体在换热过程中温度变化的相互关系,在换热器保温良好,无热损失的情况下,对于稳态传热过程,其热流量衡算关系为: (热流体放出的热流量)=(冷流体吸收的热流量)

在进行热衡算时,对有、无相变化的传热过程其表达式又有所区别。 (1)无相变化传热过程 式中 Q----冷流体吸收或热流体放出的热流量,W; mh,mc-----热、冷流体的质量流量,kg/s; Cph,Cpc------热、冷流体的比定压热容,kJ/(kg·K); T1,t1 ------热、冷流体的进口温度,K; T2,t2------热、冷流体的出口温度,K。 (2)有相变化传热过程 两物流在换热过程中,其中一侧物流发生相变化,如蒸汽冷凝或液体沸腾,其热流量衡算式为: 一侧有相变化 两侧物流均发生相变化,如一侧冷凝另一侧沸腾的传热过程 式中 r,r1,r2--------物流相变热,J/kg; D,D1,D2--------相变物流量,kg/s。 对于过冷或过热物流发生相变时的热流量衡算,则应按以上方法分段进行加和计算。 对数平均温差(LMTD) 对数平均温差是换热器传热的动力,对数平均温差的大小直接关系到换热器传热难易程度.在某些特殊情况下无法计算对数平均温差,此时用算术平均温差代替对数平均温差,介质在逆流情况和在并流情况下的对数平均温差的计算方式是不同的。在一些特殊情况下,用算术平均温差代替对数平均温差。 逆流时: 并流时:

管道流速常用值

管道流速常用值

1. 生活给水管道流速:摘自《建筑给排水设计规范》GB 50015-2003 3.6.9 生活给水管道的水流速度,宜按表3.6.9采用。。 表3。6。9 生活给水管道的水流速度 公称直径 15~2025~4050~70≥80(mm) 水流速度 ≤1.0≤1.2≤1.5≤1.8(m/s) 5.5.8 热水管道的流速,宜按表 5.5.8选用。(饮用水流速也是参考 5.5.8规定。) 表5.5.8 水管道的流速 公称直径(mm)15-2025-40≥50 流速(m/s)≤0.8≤1.0≤1.2 以下摘自教科书《建筑给水排水工程》,考虑到经济流速因素,设计时给水管道流速应控制在正常范围内: 生活或生产给水管道,不宜大于2.0m/s,当防噪声要求,且管径不大于25mm时,流速可采用0.8~1.0m/s; 消火栓系统,消防给水管道,不宜大于2.5m/s;自动喷水灭火系统给水管道,不宜大于5.0m/s,

但其配水只管在个别情况下,可控制在10 m/s 以内。 2. 室外消防给水管流速:摘自《石油化工企业设计防火规范》GB 50160—92 第7.3.14条工艺装置区或罐区的消防给水干管的管径,应经计算确定,但不宜小于200mm。独立的消防给水管道的流速,不宜大于5m/s。 3.自动喷水灭火系统给水管流速: 摘自《自动喷水灭火系统设计规范》GB GB 50084—2001 9. 2 管道水力计算 9. 2. 1 管道内的水流速度宜采用经济流速,必要时可超过5m/s,但不应大于10m/s。 9. 2. 1条文说明:采用经济流速是给水系统设计的基础要素,本条在原规范第7.1.3条基础上调整为宜采用经济流速,必要时可采用较高流速的规定。采用较高的管道流速,不利于均衡系统管道的水力特性并加大能耗;为降低管道摩阻而放大管径、采用低流速的后果,将导致管道重量的增加,使设计的经济性能降低。 原规范中关于“管道内水流速度可以超过5m

设备选型—换热器

一、换热器类型的选取 1.换热器分类: (1)按照使用目的分类:冷却器、加热器、再沸器、冷凝器等; (2)按照结构分类:管壳式、板式、管式等。 2.换热器的类型选择 换热器的类型很多,每种型式都有特定的应用范围。在某一种场合下性能很好的换热器,如果换到另一种场合可能传热效果和性能会有很大的改变。 因此,针对具体情况正确地选择换热器的类型,是很重要的。换热器选型时需要考虑的因素是多方面的,主要有: 1) 热负荷及流量大小 2) 流体的性质 3) 温度、压力及允许压降的范围 4) 对清洗、维修的要求 5) 设备结构、材料、尺寸、重量 6) 价格、使用安全性和寿命 在换热器选型中,除考虑上述因素外,还应对结构强度、材料来源、制造条件、密封性、安全性等方面加以考虑。所有这些又常常是相互制约、相互影响的,通过设计的优化加以解决。针对不同的工艺条件及操作工况,我们有时使用特殊型式的换热器或特殊的换热管,以实现降低成本的目的。因此,应综合考虑工艺条件和机械设计的要求,正确选择合适的换热器型式来有效地减少工艺过程的能量消耗。对工程技术人员而言,在设计换热器时,对于型式的合理选择、经济运行和降低成本等方面应有足够的重视,必要时,还得通过计算来进行技术经济指标分析、投资和操作费用对比,从而使设计达到该具体条件下的最佳设计。3.管壳式换热器 管壳式换热器的应用范围很广,适应性很强,还具有容量大、结构简单、造价低廉、清洗方便等优点,因此它在换热器中是最主要的型式。以下内容均用于管壳式换热器 二、工艺条件的选定 1.压降 较高的压降值导致较高的流速,因此会导致较小的设备和较少的投资,但运行费用会增高,较低的允许压降值则与此相反。所以,应该在投资和运行费用之间进行一个经济技术比较。换热器的压降可以参考相关的经验数据。 允许压降必须尽可能加以利用,如果计算压降与允许压降有实质差别,则必须尝试改变设计参数。 在设计中要充分利用允许压降用;而增加一点压降会增加很大的经济性,则应再

常用液体和气体介质管道流速表

常用液体和气体介质管道流速表 发布时间:11-09-06 来源:点击量:1259 字段选择:大中小 常用液体和气体介质管道流速表 流量计在选型时:我们要知道介质的流量大小,也就是介质流速快慢,如果太快容易损坏流量计,影响流量计的使用寿命。 常用液体和气体介质管道流速表 流体介质应用场所管道类型 介质平均流 速 备注 水一般给水 主压力管道2-3 低压管道0.5-1泵进口0.5-2.0泵出口 1.0-3.0 工业用水 离心泵压力 管 3-4 离心 泵吸水管 D N250 1-2 D N250 1.5- 2.5 往复泵压力 管 1.5-2 往复泵吸水 管 <1 给水总管 1.5-3 排水管0.5-1.0冷却 冷水管 1.5-2.5 热水管1-1.5凝结 凝结水泵吸 水管 0.5-1 凝结水泵出 水管 1-2 自流凝结水 管 0.1-0.3 一般液低粘度 1.5-3.0

体 高粘度液体 粘度 50mPa.s DN250.5-0.9 DN500.7-1.0 DN100 1.0-1.6 粘度 100mPa.s DN250.3-0.6 DN500.5-0.7 DN1000.7-1.0 DN200 1.2-1.6 粘度 1000mPa.s DN250.1-0.2 DN500.16-0.25 DN1000.25-0.35 DN2000.35-0.55 气体低压10-20 高压8-15 20-30M Pa 排气烟道2-7 压缩空气 压气机 压气机进气 管 -10 压气机输气 管 -20一般情况 DN<50<8 DN>70<15 饱和蒸汽锅炉、汽轮机 DN<10015-30 DN=100-20025-35 DN>20030-40 过热蒸汽锅炉、汽轮机 DN<10020-40 DN=100-20030-50 DN>20040-60 流量计测量粘度较大的介质时,如果流速太慢,流量计测量无法计量。在选型时一定要注

水管流速选择

水管流速选择 (1)GBJ13-86的推荐流速,见表11.8-8。GBJ13-86的推荐流速(m/s)表11.8-8 管道种类 管道公称直径(mm) <250250~1600>1600 水泵吸水管 1.0~1.2 1.2~1.6 1.5~2.0 水泵出水管 1.5~2.0 2.0~2.5 2.0~3.0 注:GBJ13-86《室外给水设计规范》 (2)Carrier设计手册的推荐值,见表11.8-9。 Carrier设计手册的推荐的流速(m/s)表11.8-9 管道种类推荐流速(m/s)管道种类推荐流速(m/s) 水泵吸水管 1.2~2.1集管(header) 1.2~4.5 水泵出水管 2.4~3.6排水管 1.2~2.0 一般供水干管 1.5~3.0接自城市供水管0.9~2.0 室内供水立管0.9~3.0网的水管 (3)不同直径管道和管件的比价 随着直径的增大,管道本身和阀门等配件的价格以及安装费用都大幅度上升。因此,对大直径管道,流速宜选择接近上限的数值。冷凝水管的设计 通常,可以根据机组的冷负荷Q(kW)按下列数据近似选定冷凝水管的公称直径; Q≤7kW DN=20mm Q=7.1~17.6kW DN=25mm Q=101~176kW DN=40mm Q=177~598kW DN=50mm Q=599~1055kW DN=80mm Q=1056~1512kW DN=100mm Q=1513~12462kW DN=125mm Q>12462kW DN=150mm 注:

(1)DN=15mm的管道,不推荐使用。 (2)立管的公称直径,就与水平干管的直径相同。 (3)本资料引自美国“McQUAY”水源热泵空调设计手册。 风机盘管机组、整体式空调器、组合式空调机组等运行过程中产生的冷凝水,必须及时予以排走。排放冷凝水管道的设计,应注意以下事项: 沿水流方向,水平管道应保持不小于千分之一的坡度;且不允许有积水部位。 当冷凝水盘位于机组负压区段时,凝水盘的出水口处必须设置水封,水封的高度应比凝水盘处的负压(相当于水柱温度)大50%左右。水封的出口,应与大气相通。 为了防止冷凝水管道表面产生结露,必须进行防结露验算。 注: (1)采用聚氯乙烯塑料管时,一般可以不必进行防结露的保温和隔汽处理。 (2)采用镀锌钢管时,一般应进行结露验算,通常应设置保温层。 冷凝水立管的顶部,应设计通向大气的透气管。 设计和布置冷凝水管路时,必须认真考虑定期冲洗的可能性,并应设计安排必要的设施。 冷凝水管的公称直径DN(mm),应根据通过冷凝水的流量计算确定。 一般情况下,每1kW冷负荷每1h约产生0.4kg左右冷凝水;在潜热负荷较高的场合,每1kW冷负荷每1h约产生0.8kg冷凝水。 膨胀水箱 水箱容积计算 当95-70°C供暖系统V=0.031Vc 当110-70°C供暖系统V=0.038Vc 当130-70°C供暖系统V=0。043Vc 式中V——膨胀水箱的有效容积(即相当于检查管到溢流管之间高度的容积),L;

八选一数据选择器和四位数据比较器verilog实验报告)

Verilog HDV 数字设计与综合 实验报告 微电子0901班 姓名:袁东明 _ 学号:_04094026 一、实验课题: 1.八选一数据选择器 2.四位数据比较器 二、八选一数据选择器Verilog程序: 2.1主程序 module option(a,b,c,d,e,f,g,h,s0,s1,s2,out); input [2:0] a,b,c,d,e,f,g,h; input s0,s1,s2; output [2:0] out; reg [2:0] out; always@(a or b or c or d or e or f or g or h or s0 or s1 or s2) begin case({s0,s1,s2}) 3'd0 : out=a;

3'd1 : out=b; 3'd2 : out=c; 3'd3 : out=d; 3'd4 : out=e; 3'd5 : out=f; 3'd6 : out=g; 3'd7 : out=h; endcase end endmodule 2.2激励程序 module sti; reg [2:0] A,B,C,D,E,F,G,H; reg S0,S1,S2; wire [2:0] OUT; option dtg(A,B,C,D,E,F,G,H,S0,S1,S2,OUT); initial begin A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=0;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=0;S1=1;S2=1; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=0; #100 A=3'd0;B=3'd1;C=3'd2;D=3'd3;E=3'd4;F=3'd5;G=3'd6;H=3'd7;S0=1;S1=0;S2=1;

板式换热器选型设计原则及方法

板式换热器选型设计原则及方法 单板面积的选择一般板式换热器选择首先是按流速确定角孔直径,角孔处流速一般控制在6m/s,当板片角孔确定后,板片的系列就能确定了。角孔直接一定的情况下,不同的制造商有不同板型,有的就一~种,有些较多。我知道的有一公司,在100mm角孔直接下,有多达7种板片。面积大小有3个规格,流道宽度有2个。至于单片面积的大下,我的经验是在满足工艺要求的情况下,应从价格上考虑。从单片面积的造价比,越大越便宜,但是整机价格得考虑框架的价格,所以而个应综合考虑。单片面积小,框架价格低,但是板片单价高。并且单片面积太下,处除了占地大,一般也难达到单流程的板片布置。(2)板间流速的选取基本同意楼主的观点,一般0.2m/s是下限,但是上限0.8m/s好象稍低了。不过这得看制造商的板片波纹。(3)流程的确定补充楼主观点:板式换热器流程在工业上一般都布置成单流程,这样在检修时可不用拆处接管。在卫生和食品上,多流程的应用较多。因为换热器一般都比较小。(4)流向的选取一般的板式换热器都是取纯逆流布置的。 可拆式板式换热器在换热站的应用情况 加热载体为 1.1MPa、230℃的蒸汽;供暖载体为热水,供水温度为92℃,回水温度为70℃,供水压力为0.5MPa、回水压力为0.14MPa。因原管壳式换热器设备陈旧,维修量大,并且蒸汽的消耗量有逐年递增的趋势。于是在2006年大修期间,将原管壳式换热器改造成板式换热器。1、板式换热器 板式换热器(plateheatexchangers,简称PHE)是一种新型高效换热器。其发明始于1872年,最初主要用于食品工业,后来逐渐扩大至造纸、医药、冶金、矿山、机械制造、电力、船舶、采暖及石油化工等其它工业领域。目前世界较知名的板式换热器生产厂家有瑞典的Alfa-laval(阿法拉伐)、SWEP(舒瑞普)、德国的GEA公司、英国的APV、日本的Hisaka(日版制作所)等。板式换热器由一系列具有一定波纹形状的金属片叠装而成,由于其特殊结构,使得板式换热器具有以下优点。 1.1 、总传热系数高,设备占地面积小 板式换热器的板片一般制成槽形或波纹形,介质在流道内的流动呈复杂的三维流动结构,其流动方向及流动速度均不断变化,造成很大的扰动,在低雷诺数(一般Re=50~200)下即可诱发湍流(而列管式换热器则要求雷诺数达到2000以上)。由于大的扰动减薄了液膜的厚度,可防止杂质在传热面上沉积粘附,从而减小污垢热阻,加之板片厚度仅0.6~0.8mm,热阻较小,另外在板式换热器中,冷热流体分别从板片的两侧通过,流体流道较小,不会出现象管壳式换热器那样的旁路流,故总传热系数较高。若以水/水为传热介质,板式换热器的总传热系数可达8360~25080kJ/m2•;h•;℃为管壳式换热器传热系数的3~5倍,但其设备体积仅为管壳式换热器的30%左右。 1.2 、传热效率高。板式换热器的传热效率非常高,国际上已有多家公司能提供最小对数平均温差△Tm=1℃的板式换热器产品。但冷热物流最小对数平均温差过小将导致换热器的换热面积很大,从工程应用角度而言并不经济。 1.3 、对数平均温差大。提高传热对数平均温差是强化传热效果的重要手段。流体的流动方向和方式都会影响对数平均温差。板式换热器内流体的流动总体上呈并流或逆流的方式,其传热平均温差的修正系数通常为0.95左右。而在管壳式换热器中,两种流体分别在壳程和管程内流动,总体上是错流的流动方式,即在壳程为混合流动,在管程为多股流动,所以传热平均温差的修正系数一般较小(约0.8左右)。 1.4 、组装灵活,操作弹性大。使用维修方便板式换热器由若干张板片组装而成,只需增、减板片的数量即可方便地调节换热面积的大小,因此使用非常灵活,操作弹性大,并且不象管壳式那样,需要预留出很大的空间用来拉出管束检修。而板式换热器只需要松开夹紧螺杆,即可在原空间范围内100%地接触倒换热板的表面,维修方便。 2 、板式换热器的适用条件及应用于换热站的实施方案 板式换热器虽然具有以上优点,但它并不能完全取代管壳式换热器。一方面是因为板式换热器对介质的洁净程度要求较高,它要求介质中杂质颗粒直径小于 1.5~2mm;另一方面是因为早期的板框式换热器(俗称可拆式板式换热器)只能适用于工作压力小于 1.6MPa、工作温度介于120~165℃之间的工况。 因换热站热源采用的是 1.1MPa;230℃的过热蒸汽,受密封垫片的耐温限制(普通EPDM垫片耐温150℃,耐高温的EPDM垫片耐温

实验二4选1数据选择器的设计

实验二 4选1数据选择器的设计 实验学时:2学时 实验类型:设计 实验要求:必做 一、实验目的 通过实验让学生掌握组合逻辑电路的EDA原理图输入设计法,通过电路的仿真和硬件验证,让学生进一步了解4选1数据选择器的功能。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由于活门阵列而成,也有用传输门开关和门电路混合而成的。 图1 4选1数据选择器原理图 图1是一个4选1数据选择器,d3—d0是数据输入端,s1和s0是控制输入端,y是4选1数据输出端。 三、实验内容 设计并实现一个4选1数据选择器,要求根据原理图写出它的逻辑关系,并利用开发工具软件对其进行编译和仿真,最后通过实验开发系统对其进行硬件验证。

四、实验步骤 1)在Maxplus2的图形编辑方式下,从prim元件库中调出4选1数据选择器电路所需要的元件。并按照图1所示的原理电路,完成4选1数据选择器原理图的输入设计。 2)保存好原理图文件,以为文件名保存在工程目录中。执行Compiler命令对设计文件进行编译。执行Create Default Symbol命令,可为4选1数据选择器生成一个元件符号。 3)在波形编辑方式下,编辑的波形文件,并完成输入信号d3,d2,d1和d0,控制信号s1和s0电平的设置。波形文件编辑结束后以为波形文件名存盘。执行仿真器Simulator命令,仿真开始,观察仿真波形进行设计电路的功能验证。 五、实验结果 1. 4选1数据选择器的逻辑功能及真值表 2.仿真波形

板式换热器的计算方法

板式换热器的计算方法 板式换热器的计算是一个比较复杂的过程,目前比较流行的方法是对数平均温差法和NTU 法。在计算机没有普及的时候,各个厂家大多采用计算参数近似估算和流速-总传热系数曲线 估算方法。目前,越来越多的厂家采用计算机计算,这样,板式换热器的工艺计算变得快捷、 方便、准确。以下简要说明无相变时板式换热器的一般计算方法,该方法是以传热和压降准 则关联式为基础的设计计算方法。 以下五个参数在板式换热器的选型计算中是必须的: 总传热量(单位:kW). 一次侧、二次侧的进出口温度 一次侧、二次侧的允许压力降 最高工作温度 最大工作压力 如果已知传热介质的流量,比热容以及进出口的温度差,总传热量即可计算得出。 温度 T1 = 热侧进口温度 * A3 F7 y& G7 S+ Q T2 = 热侧出口温度 3 s' _% s5 s. T" D0 q4 b t1 = 冷侧进口温度 & L8 ~: |; B: t2 M2 w$ z t2= 冷侧出口温度 热负荷 热流量衡算式反映两流体在换热过程中温度变化的相互关系,在换热器保温良好,无热损失的情况下,对于稳态传热过程,其热流量衡算关系为:0 B N/ I" A+ m0 z' H9 ~ (热流体放出的热流量)=(冷流体吸收的热流量) 在进行热衡算时,对有、无相变化的传热过程其表达式又有所区别。 (1)无相变化传热过程 式中 Q----冷流体吸收或热流体放出的热流量,W;# Q/ p3 p: I4 ~0 N' I) W mh,mc-----热、冷流体的质量流量,kg/s;+ Z: I9 b- h9 h" r3 P) {/ ^ Cph,Cpc------热、冷流体的比定压热容,kJ/(kg·K);6 L8 t6 b3 o& m/ n T1,t1 ------热、冷流体的进口温度,K; T2,t2------热、冷流体的出口温度,K。 (2)有相变化传热过程 两物流在换热过程中,其中一侧物流发生相变化,如蒸汽冷凝或液体沸腾,其热流量衡 算式为:& w3 v) j4 I4 R 一侧有相变化1 Y# e$ B6 c& z% C3 W- W* J 两侧物流均发生相变化,如一侧冷凝另一侧沸腾的传热过程 式中

4选1数据选择器

电子设计技术课程设计 四位加法器 姓名:黄政 学号:2011059605 班级:通信工程zb421101 指导教师:郑雪娇 2013年12月26日 1

一、设计目的 1. 熟练使用Verilog HDL语言在mux plusⅡ软件平台上编写程序,完成编译工作。 2.学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用,了解VHDL程序的基本结构。 3.掌握使用EDA工具设计数字系统的设计思路和设计方法。学习VHDL基本逻辑电路的综合设计应用。 4.学习实验开发系统的使用方法。 二、设计内容 1、设计并调试好一个4选1数据选择器。 2、仿真、分析结果、绘制波形 三、设计过程 1、程序的设计 打开mux plusⅡ,单击file,选择new ,选择Editor file类型。进行文本编辑,编辑完成后并以“max4_1.vhd”(注意后缀是.Vhd)为文件名,存在自己建立的工程目录D:\(自己的文件夹)内。进行存盘操作时,系统在弹出的存盘操作对话框中,自动保留了上一次存盘时的文件名和文件目录,不要随意单击“OK”按钮结束存盘,一定要填入正确的文件名并选择正确的工程目录后,才能单击“OK”按钮存盘,这是上机实验时最容易忽略和出错的地方。程序设计内容如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY MUX41A IS PORT(D3,D2,D1,D0,A1,A0,EN:IN STD_LOGIC; Y:OUT STD_LOGIC); END ENTITY MUX41A; ARCHITECTURE ONE OF MUX41A IS BEGIN Y<=D0 WHEN A1='0' AND A0='0' AND EN='1' ELSE D1 WHEN A1='0' AND A0='1' AND EN='1' ELSE D2 WHEN A1='1' AND A0='0' AND EN='1'

板式换热器选型计算

板式换热器选型计算

(四)计算换热量 Wq=Qh*γh*Cph*(Th1-Th2)=Qc*γc*Cpc*(Tc2-Tc1) W (五)设备选型 根据样本提供的型号结合流量定型号,主要依据于角孔流速。即:Wl=4*Q/(3600*π*D2) ≤3.5~4.5m/s Wl—角孔流速m/s Q —介质流量m3/h D —角孔直径m (六)定型设备参数(样本提供) 单板换热面积s m2 单通道横截面积 f m2 板片间距l m 平均当量直径de m (d≈2*l) 传热准则方程式Nu=a*Re b*Pr m 压降准则方程式Eu=x*Re y Nu—努塞尔数Eu—欧拉数 a.b.x.y—板形有关参数、指数 Re—雷诺数 Pr—普朗特数 m —指数热介质m=0.3 冷介质m=0.4 (七)拟定板间流速初值Wh 或Wc Wc=Wh*Qc/Qh (纯逆流时) W取0.1~0.4m/s (八)计算雷诺数 Re=W*de/ν W —计算流速m/s de—当量直径m ν—运动粘度m2/s (九)计算努塞尔数 Nu=a*Re b*Pr m

(十)计算放热系数 α=Nu*λ/de α—放热系数W/m2·℃ λ—导热系数W/m·℃ 分别得出αh、αc热冷介质放热系数(十一)计算传热系数 K=1/(1/αh+1/αc+r p+r h+r c) W/m2·℃ r p—板片热阻0.0000459m2·℃/W r h—热介质污垢热阻0.0000172~0.0000258m2·℃/W r c—冷介质污垢热阻0.0000258~0.0000602m2·℃/W (十二)计算理论换热面积 Fm=Wq/(K*△T) (十三)计算换热器单组程流道数 n=Q/(3600*f*W) (圆整为整数) Q—流量m3/h f—单通道横截面积m2 W—板间流速m/s (十四)计算换热器程数 N=(Fm/s+1)/(2*n)N为≥1的整数s—单板换热面积m2 (十五)计算实际换热面积 F=(2*N*n-1)*s (纯逆流) (十六)计算欧拉数 Eu=x*Re y (十七)计算压力损失 △P=Eu*γ*W2*N*10-6 MPa γ—介质重度Kg/m3 W—板间流速m/s N—换热器程数

相关主题
文本预览
相关文档 最新文档