当前位置:文档之家› 步进电机角度控制系统的VHDL设计

步进电机角度控制系统的VHDL设计

步进电机角度控制系统的VHDL设计
步进电机角度控制系统的VHDL设计

步进电机角度控制系统的VHDL设计

20世纪80年代后期,美国国防部开发的VHDL语言(VHSIC硬件描写语言,VHSIC 是非常高度迅速综合的电路的缩写)是IEEE标准化的硬件描述语言,并且已经成为系统描述的国际公认标准,得到众多EDA公司的支持。其设计描述可以是描述电路具体组成的结构描述,也可以是描述电路功能的行为描述.这些描述可以从最抽象的系统级直到最精确的逻辑级,甚至门级。

传统的电子设计技术通常是自底向上的,即首先确定构成系统的最底层的电路模块或元器件的结构和功能,然后根据主系统的功能要求,将它们组合成更大的功能块,使它们的结构和功能满足高层系统的要求[10]。以此流程,逐步向上递推,直至完成整个目标系统设计。

而在“自顶向下”的设计中,首先需要进行行为设计。接着进行结构设计,根据该电子系统或芯片的特点,将其分解为接口清晰、相互关系明确、尽可能简单的子系统,得到一个总体结构。下一步是把结构转换成逻辑图,即进行逻辑设计。接着进行电路设计,逻辑图将进一步转化成电路图。在很多情况下,这时需进行硬件仿真,以最终确定逻辑设计的正确性。最后是进行版图设计,即将电路图转化成版图。

在本设计中采用的是自顶向下的设计方法,首先从系统功能设计开始,对系统高层模块进行行为描述和功能仿真.系统的功能验证完成后,将抽象的高层设计自顶向下逐级细化,直到与所用可编程逻辑器件相对应的逻辑描述。

在本设计中,具有4个模块:

1)单步运行模块:控制步进电机单步运行。实现的方式就是当按下单步运行按键时步进电机就转动一个角度,按两下转动两个角度,简明的说就按几下单步运行按键步进电机就转动几个角度。

2)角度定位控制模块:控制步进电机的角度,就是当你需要它转几个角度时,通过此程序能实现它就转几个角度。

3)正、反转控制模块:实现步进电机的能朝两个方向的运行。

4)转速控制模块:对步进电机运行快、慢的控制。

下面具体介绍各个部分的设计。

3.1单步运行模块

(1)实体图

图3-1 单步运行实体图

danbu :控制单步运行的,当按下此键时,步进电机电机就转一步,不按时就停止不转。

clk :脉冲信号。

phase[3..0]:输出信号。

(2)单步运行的VHDL设计

为了实现步进电机的单步运行的要求,CPLD要能够产生一种信号,例如当danbu为‘1’时产生phase(3)→phase(2)→phase(1)→phase(0)依次为高电平之后使输出信号为零,当danbu 再次为‘1’时才产生信号。也就是当按下danbu 键时,步进电机就转一个角度;反之,步进电机就停止不转。主要程序如下:......

architecture arc1 of danbu is

type states is (s0,s1,s2,s3,s4);--中间变量的定义

signal current_state:states;

begin

process(clk)

begin

if clk'event and clk='1' then

if danbu='1' then

current_state<=s0; --当danbu=’1’时将s0赋值给current_state

else

case current_state is

when s0=> current_state<=s1;

when s1 => current_state <=s2;

when s2 => current_state <=s3;

when s3 => current_state <=s4;

when others => current_state <=s4;

end case;

end if;

end if;

end process;

process(current_state)

begin

case current_state is --给步进电机所需的脉冲信号

when s0=> phase<="0001";

when s1=> phase<="0010";

when s2=> phase<="0100";

when s3=> phase<="1000";

when s4=> phase<="0000";

end case;

end process;

end architecture arc1;

(3)仿真波形图

图3-2 单步运行仿真波形

由此波形可知,当输入danbu为‘1’时,则分别给步进电机的A→B→C→D 四相依次加一个脉冲,就能使步进电机转一个角度。满足我的设计要求。

3.2角度控制模块

(1)实体图

图3-3 角度控制实体图

clk2:时钟脉冲;

d[4..0]:控制步进电机运行的角度;

ena1:当ena1=’1’时,输入才有效;

ena2:使能信号;

dir:控制步进电机的运行方向;

phase[3..0]:输出信号;

mai:U1的作用是产生逐个脉冲来控制步进电机,实现步进电机的角度控制。

stepmotor:U2的作用是产生能够使步进电机运行的信号。

(2)角度控制的VHDL设计

为了实现步进电机按照所要求的步数运行(角度控制),CPLD必须能够将连续的脉冲通过一定的实现将其化为逐个脉冲送出[11],此电路的具体实现方法如下:

当发送步进脉冲使能信号ena(上升沿有效)时,CPLD将设置的运行的步进脉冲数送入到二进制减法计数器的预置数端, 允许频率发生器的脉冲输出直接送到步进电机脉冲发生器的脉冲输出端上, 并对频率发生器输出的脉冲信号clk 进行减计数。当计数器计到零时, 产生一个封锁信号flag, 封锁频率发生器的输出脉冲, 使得此时的脉冲输出为低电平。当下一个启动命令到来时, 再次通过步进脉冲使能信号启动, 完成下一次的控制。

脉冲发生器的VHDL设计如下:

entity mai is --实体端口定义

port ( d: in std_logic_vector(4 downto 0);

ena,clk0 :in std_logic;

clkout:out std_logic

);

end mai;

architecture one of mai is

signal qout:std_logic_vector(4 downto 0);--中间变量的定义

signal flag:std_logic;

begin

process(clk0,ena)

begin

if ena='1' then

qout<=d(4 downto 0);

else

if rising_edge(clk0) and(qout > 0 )then

qout <=qout-1;

elsif qout="00000" then

qout <=qout;

end if;

end if;

end process;

process(qout) --产生封锁步进脉冲的信号

begin

if qout="00000" then --当计数器减计数到0时封锁信号有效

flag <='0';

else

flag<='1'; --到下一个预置数到来时解除封锁

clkout<= not clk0;

end if;

end process;

end one;

(3)仿真波形

图3-4 脉冲发生器的仿真波形

此波形是在QuartusⅡ仿真平台下进行的仿真,步进电机的频率为10KHZ,

脉冲个数为四位二进制数,上图分别给出了脉冲个数为5、9时的仿真波形,当输入d[4..0]为5时,输出clkout就输出5个脉冲;当输入d[4..0]为9时,输出clkout就输出9个脉冲。由此可见,能实现设计的要求。

3.3正、反转控制模块

(1)实体框图

图3-5正、反转控制实体图

clk: 时钟信号

reset: 复位信号

dir:方向控制信号

phase[3..0]:输出信号给步进电机

(2)正、反转控制的VHDL设计

为了使步进电机按照要求实现正、反两个方向运行,CPLD必须能够产生两个不同方向的信号,例如当dir为‘1’时产生的是phase(3)→phase(2)→phase(1)→phase(0)依次为高电平,当dir为‘0’时产生的是phase(0)→phase(1)→phase(2)→phase(3)依次为高电平,两者相反,将此信号送给步进电机A、B、C、D四相就能实现步进电机的正反转。

该控制电路的输入信号有3个:时钟信号clk、复位信号reset和方向控制信号dir。输出信号为phase[3..0],用来控制步进电机的动作[12]。

图3-6 步进电机控制器的状态图

S0S3S1S2状态 输出信号 phase[3..0]

00011000

00100100

图3-7步进电机状态与输出信号的对应关系

具体程序见附录。

(2) 仿真波形

图3-8 正、反转控制仿真波形

由波形可知,当dir为‘1’时,输出信号phase[3..0]按照“0001”、

“0010”、“0100”、“1000”、“0001”的顺序循环变化。当dir 为‘0’时,输出信号phase[3..0]则按照“1000”、“0100”、“0010”、“0001”、“1000”的顺序循环变化。可以实现步进电机正、反转的要求。

3.4转速控制模块

(1)实体图

图3-9 转速控制实体图

clk0:时钟信号

ena:复位信号

dir:方向控制信号

dd[1..0]:控制速度的输入信号

phase[3..0]:输出信号给步进电机

(2)转速控制的VHDL的设计

步进电机的速度与输入的脉冲频率成正比[13],为了可以实现步进电机的加减速控制, 只需要能够改变步进脉冲的频率。所以本课题设计了数控分频器来改变步进脉冲的频率。此数控分频器的具体实现如下: 加法计数器在并行预置数的基础上进行加计数,当计数值溢出时产生预置数据置入控制信号,加载预置数据,

并且将溢出信号作为分频器的输出信号,实现不同的分频信号输出[14]。

数控分频器的VHDL设计如下:

entity dvf is

port ( clk: in std_logic;

d: in std_logic_vector(1 downto 0);--定义预置数据输入端

f: out std_logic); --定义输出端

end dvf;

architecture bhv of dvf is

signal full :std_logic; --定义内部溢出标志信号

begin

process(clk)

variable cnt1 :std_logic_vector(1 downto 0); --内部变量,位宽同预置数端

begin

if clk'event and clk='1' then

if cnt1 ="11" then

full<='1'; --当计数计满时,产生溢出信号

cnt1:=d; --同步加载预置数据d

else cnt1:=cnt1+1; --否则进行加1计数

full<='0';

end if;

end if;

end process ;

f <= full; --将溢出信号赋予分频器的输出端

end bhv;

(3)仿真波形

图3-10数控分频器的仿真波形

由波形可知,当d为不同值时输出信号f的脉宽也不一样,然后再用f来控制步进电机,就可以实现步进电机不同转速的要求。

步进电机控制实验

步进电机控制实验 一、实验目的: 了解步进电机工作原理,掌握用单片机的步进电机控制系统的硬件设计方法,熟悉步进电机驱动程序的设计与调试,提高单片机应用系统设计和调试水平。 二、实验容: 编写并调试出一个实验程序按下图所示控制步进电机旋转: 三、工作原理: 步进电机是工业过程控制及仪表中常用的控制元件之一,例如在机械装置中可以用丝杠把角度变为直线位移,也可以用步进电机带螺旋电位器,调节电压或电流,从而实现对执行机构的控制。步进电机可以直接接收数字信号,不必进行数模转换,用起来非常方便。步进电机还具有快速启停、精确步进和定位等特点,因而在数控机床、绘图仪、打印机以及光学仪器中得到广泛的应用。 步进电机实际上是一个数字/角度转换器,三相步进电机的结构原理如图所示。从图中可以看出,电机的定子上有六个等分磁极,A、A′、B、B′、C、C ′,相邻的两个磁极之间夹角为60o,相对的两个磁极组成一相(A-A′,B-B′,C-C′),当某一绕组有电流通过时,该绕组相应的两个磁极形成N极和S极,每个磁极上各有五个均匀分布矩形小齿,电机的转子上有40个矩形小齿均匀地分布的圆周上,相邻两个齿之间夹角为9°。 当某一相绕组通电时,对应的磁极就产生磁场,并与转子形成磁路,如果这时定子的小齿和转子的小齿没有对齐,则在磁场的作用下,转子将转动一定的角度,使转子和定子的齿相互对齐。由此可见,错齿是促使步进电机旋转的原因。 三相步进电机结构示意图 例如在三相三拍控制方式中,若A相通电,B、C相都不通电,在磁场作用下使转子齿和A相的定子齿对齐,我们以此作为初始状态。设与A相磁极中心线对齐的转子的齿为0

四相步进电机控制系统设计资料讲解

四相步进电机控制系 统设计

课题:四相五线单4拍步进制电动机的正反转控制专业:机械电子工程 班级:2班 学号: 20110259 姓名:周后银 指导教师:李立成 设计日期: 2014.6.9~2014.6.20 成绩:

1概述 本实验旨在通过控制STC89C52芯片,实现对四相步进电机的转动控制。具体功能主要是控制电机正转10s、反转10s,连续运行1分钟,并用1602液晶显示屏显示出来。 具体工作过程是:给系统上电后,按下启动开关,步进电机按照预先 实验具体用到的仪器:STC89C52芯片、开关单元、四项步进电机、等硬件设 备。 实验具体电路单元有:单片机最小系统、步进电机连接电路、开关连接电路、1602液晶显示屏显示电路。 2四相步进电机 2.1步进电机 步进电机是一种将电脉冲转化为角位移的执行机构。电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 2.2步进电机的控制 1.换相顺序控制:通电换相这一过程称为脉冲分配。 2.控制步进电机的转向控制:如果给定工作方式正序换相通电,步进 电机正转,如果按反序通电换相,则电机就反转。

3.控制步进电机的速度控制:如果给步进电机发一个控制脉冲,它就 转一步,再发一个脉冲,它会再转一步。两个脉冲的间隔越短,步进电机就转得越快。 2.3步进电机的驱动模块 ABCD四相工作指示灯指示四相五线步进电机的工作状态 2.4步进电机的工作过程 开关SB接通电源,SA、SC、SD断开,B相磁极和转子0、3号齿对齐,同时,转子的1、4号齿就和C、D相绕组磁极产生错齿,2、5号齿就和D、A相绕组磁极产生错齿。当开关SC接通电源,SB、SA、SD断开时,由于C相绕组的磁力线和1、4号齿之间磁力线的作用,使转子转动, 1、4号齿和C相绕组的磁极对齐。而0、3号齿和A、B相绕组产生错齿,

步进电机角度控制设计

目录 摘要 (1) 1设计任务与要求 (2) 1.1设计目的 (2) 1.2设计要求和设计指标 (2) 2方案分析 (3) 3系统硬件部分 (4) 3.1主控模块 (4) 3.2键盘输入模块 (7) 3.3电机模块 (8) 3.4显示模块 (11) 4系统软件部分 (13) 4.1整体流程图及主程序 (13) 4.2按键流程图及程序 (14) 4.3显示模块程序 (19) 4.4电动机模块流程图及程序 (20) 4.5中断程序 (22) 5仿真运行 (24) 6心得体会 (25) 参考文献 (26) 附录一:Protues硬件仿真图 (27) 附录二:系统程序 (27)

摘要 步进电机在控制系统中具有很广泛的应用。它可以把脉冲信号转换成角位移,并且可用作电磁制动轮、电磁差分器或角位移发生器等。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元步进电机件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度,称为“步距角”,它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。 此次设计使用C语言作为编程语言。C语言是一种计算机程序设计语言,它既具有高级语言的特点,又具有汇编语言的特点。它的应用范围广泛,具备很强的数据处理能力,不仅仅是在软件开发上,而且各类科研都需要用到C语言,适于编写系统软件、三维、二维图形和动画,具体应用例如单片机以及嵌入式系统开发。 硬件部分使用89C51作为主控芯片,并使用ULN2003A将单片机的信号放大以控制步进电机,同时使用4位数码管显示转动角度及次数。 关键词:步进电机C语言AT89C51 ULN2003A 转动角度

步进电动机控制方法

<<技能大赛自动线的安装与调试>>项目二等奖 心得二 心得二:步进电机的控制方法 我带队参加《2008年全国职业院校技能大赛自动线的安装与调试》项目,我院选手和其他院校的三位选手组成了天津代表队,我院选手所在队获得了《2008年全国职业院校技能大赛自动线的安装与调试》项目二等奖,为天津市代表队争得了荣誉,也为我院争得了荣誉。以下是我这个作为教练参加大赛的心得二:步进电机的控制方法 《2008年全国职业院校技能大赛自动线的安装与调试》项目的主要内容包括如气动控制技术、机械技术(机械传动、机械连接等)、传感器应用技术、PLC控制和组网、步进电机位置控制和变频器技术等。但其中最为重要的就是PLC方面的知识,而PLC中最重要就是组网和步进电机的位置控制。 一、 S7-200 PLC 的脉冲输出功能 1、概述 S7-200 有两个置PTO/PWM 发生器,用以建立高速脉冲串(PTO)或脉宽调节(PWM)信号波形。 当组态一个输出为PTO 操作时,生成一个50%占空比脉冲串用于步进电机或伺服电 机的速度和位置的开环控制。置PTO 功能提供了脉冲串输出,脉冲周期和数量可由用户控制。但应用程序必须通过PLC内置I/O 提供方向和限位控制。 为了简化用户应用程序中位控功能的使用,STEP7--Micro/WIN 提供的位控向导可以帮助您在几分钟内全部完成PWM,PTO 或位控模块的组态。向导可以生成位置指令,用户可以用这些指令在其应用程序中为速度和位置提供动态控制。 2、开环位控用于步进电机或伺服电机的基本信息 借助位控向导组态PTO 输出时,需要用户提供一些基本信息,逐项介绍如下: ⑴最大速度(MAX_SPEED)和启动/停止速度(SS_SPEED) 图1是这2 个概念的示意图。 MAX_SPEED 是允许的操作速度的最大值,它应在电机力矩能力的范围。驱动负载所需的力矩由摩擦力、惯性以及加速/减速时间决定。

三相双三拍步进电机控制系统设计要点

摘要 进步电机是几点数字控制系统中常用的控制元件之一。由于其精度高,体积小,控制方便灵活,因此在智能仪表和位置中得到广泛的应用。 步进电机是机电控制中一种常见的执行机构。步进电机最早是在1920年由英国人所开发。1950年后期晶体管的发明也逐渐应用在步进电机上,这对于数字化的控制变得更为容易。以后经过不断改良,使得今日步进电机已广泛运用在需要高定位精度、高分解性能、高响应性、信赖性等灵活控制性高的机械系统中。在生产过程中要求自动化、省人力、效率高的机器中,我们很容易发现步进电机的踪迹,尤其以重视速度、位置控制、需要精确操作各项指令动作的灵活控制性场合步进电机用得最多。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。他易于实现与计算机或其他数字元件接口,适用于数字控制系统。

1 课程设计任务和要求 课程设计任务 设计一个三相步进电机控制系统,设计一个计算机步进电机程序控制系统,可以对步进电机的转速、转向以及位置进行控制。通过设计,掌握步进电机的工作原理、掌握步进电机控制系统的设计原理、设计步骤,进一步提高综合运用知识的能力。 要求完成的主要任务: (1)设计接口电路和驱动电路,对步进电机进行控制。 (2)选择控制算法,编写控制程序,实现三相步进电机在双三拍工作方式下先正转90度,然后再反转60度,要求其速度可调,转向可控。 (3)写出设计说明书。 课程任务要求 (1)查阅资料,确定设计方案 (2)选择器件,设计硬件电路,并画出原理图和PCB图 (3)画出流程图,编写控制程序 (4)撰写课程设计说明书 2 步进电机的概述 2.1 步进电机的特点 1)一般步进电机的精度为步进角的3-5%,且不累积。 2)步进电机外表允许的温度高。步进电机温度过高首先会使电机的磁性材料退磁,从而导致力矩下降乃至于失步,因此电机外表允许的最高温度应取决于不同电机磁性材料的退磁点;一般来讲,磁性材料的退磁点都在摄氏130度以上,有的甚至高达摄氏200度以上,所以步进电机外表温度在摄氏80-90度完全正常。 3)步进电机的力矩会随转速的升高而下降。当步进电机转动时,电机各相绕组的电感将形成一个反向电动势;频率越高,反向电动势越大。在它的作用下,电机随频率(或速度)的增大而相电流减小,从而导致力矩下降。 4)步进电机低速时可以正常运转,但若高于一定速度就无法启动,并伴有啸叫声。步进电机有一个技术参数:空载启动频率,即步进电机在空载情况下能够正常启动的脉冲频率,如果脉冲频率高于该值,电机不能正常启动,可能发生丢步或堵转。在有负载的

步进电机控制开题报告

毕业设计(论文)开题报告 学生专业 学号姓名班级 指导教师及职称 题目步进电机控制设计结合毕业设计(论文)课题情况,根据所 查阅的文献资料,每人撰写500 字左右的文献综述: 一、选题的背景和意义: 步进电动机是数字控制系统中一种十分重要的自动化执行元件,在工业自动化装备,办公自 动化设备中有着广泛的运用,近年来,控制技术、计算机技术以及微电子技术的迅速发展,有力 地推动了步进电动机控制技术的进步,提高了步进电动机运动控制装置的应用水平。过去电动机 的控制多用模拟法,随着计算机应用技术的迅速发展,电动机的控制也发生了深刻的变化,步进 电机常常和计算机一起组成高精度的数字控制系统。模拟控制已经逐渐被使用单片机为主的混合 控制和全数字控制所取代。 步进电机是一种将电脉冲信号转换成角位移的执行机构,其转子角位移与输入脉冲的频率成 正比,通过改变脉冲频率可以实现大范围的调速;同时,步进电机易于与计算机和其他数字元件 接口,因此被应用于各种数字控制系统中[2] ,本设计的步进电动机控制系统由单片机(控制电路),脉冲分配电路、功率放大电路(驱动电路)、步进电动机及电源系统组成组成。 步进电动机是用电脉冲信号进行控制,将电脉冲信号转换成相应的角位移或线位移的微电动 机,它最突出的优点是可以在宽广的频率范围内通过改变脉冲频率来实现调速,快速起停、正反 转控制及制动等,并且用其组成的开环系统既简单、廉价,又非常可行,因此在打印机等办公自 动化设备以及各种控制装置等众多领域有着极其广泛的应用。随着微电子和计算机技术的发展, 步进电动机的需求量与日俱增,研制步进电机驱动器及其控制系统具有十分重要的意义。

三相步进电机原理与控制方法资料(精)

本模块由45BC340C型步进电机及其驱动电路组成。 (一步进电机: 一般电动机都是连续旋转,而步进电动却是一步一步转动的,故叫步进电动机。每输入一个脉冲信号,该电动机就转过一定的角度(有的步进电动机可以直接输出线位移,称为直线电动机。因此步进电动机是一种把脉冲变为角度位移(或直线位移的执行元件。 步进电动机的转子为多极分布,定子上嵌有多相星形连接的控制绕组,由专门电源输入电脉冲信号,每输入一个脉冲信号,步进电动机的转子就前进一步。由于输入的是脉冲信号,输出的角位移是断续的,所以又称为脉冲电动机。 随着数字控制系统的发展,步进电动机的应用将逐渐扩大。 步进电动机的种类很多,按结构可分为反应式和激励式两种;按相数分则可分为单相、两相和多相三种。 图1 反应式步进电动机的结构示意图 图1是反应式步进电动机结构示意图,它的定子具有均匀分布的六个磁极,磁极上绕有绕组。两个相对的磁极组成一组,联法如图所示。

模块中用到的45BC340型步进电机为三相反应式步进电机,下面介绍它单三拍、六拍及双三拍通电方式的基本原理。 1、单三拍通电方式的基本原理 设A相首先通电(B、C两相不通电,产生A-A′轴线方向的磁通,并通过转子形成闭合回路。这时A、A′极就成为电磁铁的N、S极。在磁场的作用下,转子总是力图转到磁阻最小的位置,也就是要转到转子的齿对齐A、A′极的位置(图2a;接着B相通电(A、C 两相不通电,转了便顺时针方向转过30°,它的齿和C、C′极对齐(图2c。不难理解,当脉冲信号一个一个发来时,如果按A→C→B→A→…的顺序通电,则电机转子便逆时针方向转动。这种通电方式称为单三拍方式。 图2 单三拍通电方式时转子的位置 2、六拍通电方式的基本原理 设A相首先通电,转子齿与定子A、A′对齐(图3a。然后在A相继续通电的情况下接通B相。这时定子B、B′极对转子齿2、4产生磁拉力,使转子顺时针方向转动,但是A、A′极继续拉住齿1、3,因此,转子转到两个磁拉力平衡为止。这时转子的位置如图3b所示,即转子从图(a位置顺时针转过了15°。接着A相断电,B相继续通电。这时转子齿2、4和定子B、B′极对齐(图c,转子从图(b的位置又转过了15°。

基于单片机的步进电机控制系统的设计_毕业设计

本科毕业设计 基于单片机的步进电机控制系统的设计

摘要 随着自动控制系统的发展和对高精度控制的要求,步进电机在自动化控制中扮演着越来越重要的角色,区别于普通的直流电机和交流电机,步进电机可以对旋转角度和转动速度进行高精度控制。步进电机作为控制执行元件,是机电一体化的关键组成之一,广泛应用在各种自动化控制系统和精密机械等领域。 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个步距角。 本系统介绍了一种基于单片机的步进电机控制系统的设计,包括了硬件设计和软件设计两部分。其中,硬件设计包括单片机最小系统、键盘控制模块、LCD显示模块、步进电机驱动模块、位置检测模块共5个功能模块的设计。系统软件设计采用C语言编写,包括主程序、数字键处理程序、功能键处理程序、电机驱动处理程序、显示模块、位置采集模块。 本设计采用STC89C52单片机作为主控制器,4*4矩阵键盘作为输入,LCD1602液晶作为显示,ULN2003A芯片驱动步进电机。系统具有良好的操作界面,键盘输入步进电机的运行距离;步进电机能以不同的速度运行,可以在不超过最大转速内准确运行到任意设定的位置,可调性较强;显示设定的运行距离和实际运行距离;方便操作者使用。关键词:单片机步进电机液晶显示键盘驱动

Design of the Stepping Motor Control System Based on SCM Qiu Haizhao (College of Engineering, South China Agricultural University, Guangzhou 510642,China) Abstract:With the development of automatic control system and the requirements of high-precision control, stepping motor control in automation is playing an increasingly important role, different from the common DC and AC motor, stepper motor rotation angle and rotational speed can be high-precision controlled. Stepper motor as a control actuator is a key component of mechanical and electrical integration, widely used in a variety of automated control systems and precision machinery and other fields. Stepper motor is the open-loop control components changing electric pulse signals into angular displacement or linear displacement .In the case of non-overloaded, the motor speed, stop position depends only on the pulse frequency and pulse number, regardless of load changes, that is, to add a pulse motor, the motor is turned a step angle. This system introduces a design of stepper motor control system based on single chip microcomputer, including hardware design and software design in two parts. Among them, the hardware design, including single chip minimal system, keyboard control module, LCD display module, the stepper motor drive module, position detection module five functional modules. System software design using C language, including the main program, process number keys, the key of function processes, motor driver handler, the display module, position acquisition module. This design uses STC89C52 microcontroller as the main controller, 4 * 4 matrix keyboard as an input, LCD1602 LCD as a display, ULN2003A chip as stepper motor driver. System has a good user interface, keyboard input stepper motor running distance; Stepper motor can run at different speed, and run to any given position accurately in any speed without exceeding the maximum speed, with a strong adjustable ; Display the running distance and the actual running distance, which is more convenient for the operator to use. Key words: SCM stepper LCD keyboard driver

步进电机角度控制(1)

课程设计 课程名称微型计算机控制技术 题目名称步进电机角度控制(1) 学生学院自动化学院 专业班级自动化(4)班 学号 学生姓名 指导教师 2012 年 6 月26 日

一、系统设计说明 1.硬件设计 本次设计要求通过键盘按键实现对步进电机的转动次数和每次转动的角度的控制,并通过数码管显示出来。 本方案中通过按键对步进电机的转动角度进行设定,给各个按键设置不同的键值。按下按键时,给8255A一个信号设定步进电机下一步的动作。8086通过8255A的数据总线读取该信号,并作出反应,通过给8255A一系列的指令驱动其工作,从而驱动步进电机和LED 显示器 2.软件设计 3.显示模块设计说明: 为使显示程序具有通用性和灵活性,在8086内设置一个显示缓冲区,显示缓冲区的每个单元与LED的各位一一对应。当主程序需要显示,只需将要显示的字符送入显示缓冲区,然后调用显示子程序。显示子程序的任务则是逐一取出显示缓冲区中的字符、查字形表转换成相应字型码,然后通过字段口输出显示。显示模块是用四位七段数码管来显示转动次数和每次转动的角度。给八个按键设置不同的子程序,当按下按键时,根据事先设定好的各个按键对应的转动角度的值输出到数码管进行显示。 步进电机模块设计说明: 在此设计中,采用的是八拍步进电机。步进电机控制程序就是完成环形分配器的任务,从而控制电动机的转动,以达到控制转动角度和位移的目的。控制模型可以以立即数的形式一一给出。对于步进电机模块的程序设计采用循环程序设计方法。先把转动的次数和角度的控制模型存放在内存单元中,然后再逐一从单元中取出控制模块并输出。首先启动,按下按键选择步进电机的角度,然后读入转动的控制模型驱动步进电机转动。 二、程序设计流程图

西门子200系列PLC直流步进电机控制方法

直流步进电机plc控制方法 系统功能概述: 本系统采用PLC通过步进电机驱动模块控制步进电机运动。当按下归零按键时,电机1和电机2回到零点(零点由传感器指示)。当按下第一个电机运行按键时,第一个电机开始运行,直到运行完固定步数或到遇到零点停止。当按下第二个电机运行按键时,第二个电机开始运行,运行完固定步数或遇到零点停止。两电机均设置为按一次按键后方向反向。电机运行时有升降速过程。 PLC输入点I0.0为归零按键,I0.1为第一个电机运行按键,I0.2为第二个电机运行按键,I0.3为第一个电机传感器信号反馈按键,I0.4为第二个电机传感器信号反馈按键。 PLC输出点Q0.0为第一个电机脉冲输出点,Q0.1为第二个电机脉冲输出点,Q0.2为第一个电机方向控制点,Q0.3为第二个电机方向控制点,Q0.4为电机使能控制点。 所用器材: PLC:西门子S7-224xpcn及USB下载电缆。编程及仿真用软件为V4.0 STEP 7 MicroWIN SP3。 直流步进电机2个,微步电机驱动模块2个。按键3个。24V开关电源一个。导线若干。 各模块连接方法: PLC与步进电机驱动模块的连接:

驱动模块中EN+、DIR+、CP+口均先接3k电阻,然后接24V 电源。 第一个驱动模块CP-接PLC的Q0.0,DIR-接PLC的Q0.2,EN-接PLC的Q0.4 第二个驱动模块CP-接PLC的Q0.1,DIR-接PLC的Q0.3,EN-接PLC的Q0.4 注意: 1、PLC输出时电压为24V,故和驱动器模块连接时,接了3k 电阻限流。 2、由于PLC处于PTO模式下只有在输出电流大于140mA时,才能正确的输出脉冲,故在输出端和地间接了200欧/2w下拉电阻,来产生此电流。(实验室用的电阻功率不足,用200欧电阻时功率至少在24*24/200=2.88w,即用3w的电阻) 3、PLC与驱动模块连接时,当PLC输出低电平时不能将驱动模块电平拉低,故在EN-和DIR-上接了200欧/2W下拉电阻 驱动模块与电机接法: 驱动模块的输出端分别与电机4根线连接 电机传感器与PLC连接: 传感器电源接24v,信号线经过240欧电阻(试验中两个470电阻并联得到)与24v电源上拉后,信号线接到PLC的I0.3和I0.4

步进电机控制系统设计.

毕业设计论文 论文题目:基于单片机的步进电机控制电路板设计 摘要 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,它广泛用于打印机、电动玩具等消费类产品以及数控机床、工业机器人、医疗器械等机电产品中,其在各个国民经济领域都有应用。研究步进电机的控制系统,对提高控制精度和响应速度、节约能源等都具有重要意义。 步进电机是一种能将电脉冲信号转换成角位移或线位移的机电元件,步进电机控制系统主要由步进控制器,功率放大器及步进电机等组成。采用单片机控制,用软件代替上述步进控制器,使得线路简单,成本低,可靠性大大增加。软件编程可灵活产生不同类型步进电机励磁序列来控制各种步进电机的运行方式。 本设计是采用AT89C51单片机对步进电机的控制,通过IO口输出的时序方波作为步进电机的控制信号,信号经过芯片ULN2003驱动步进电机;同时,用 4个按键来对电机的状态进行控制,并用数码管动态显示电机的转速。 系统由硬件设计和软件设计两部分组成。其中,硬件设计包括AT89C51单片机的最小系统、电源模块、键盘控制模块、步进电机驱动(集成达林顿ULN2003)模块、数码显示(SM420361K数码管)模块、测速模块(含霍尔片UGN3020)6个功能模块的设计,以及各模块在电路板上的有机结合而实现。软件设计包括键盘控制、步进电机脉冲、数码管动态显示以及转速信号采集模块的控制程序,最终实现对步进电机转动方向及转动速度的控制,并将步进电机的转动速度动态显示在LED数码管上,对速度进行实时监控显示。软件采用在Keil软件环境下编辑

************* 第1章绪论 1.1 课题背景 当今社会,电动机在工农业生产、人们日常生活中起着十分重要的作用。步进电机是最常见的一种控制电机,在各领域中得到广泛应用。步进电机作为执行元件,是机电一体化的关键产品之一, 广泛应用在各种自动化控制系统中。 随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用。步进电机是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速的目的。步进电机可以作为一种控制用的特种电机,其优点是结构简单、运行可靠、控制方便。尤其是步距值不受电压、温度的变化的影响、误差不会长期积累的特点,给实际的应用带来了很大的方便。它广泛用于消费类产品(打印机、照相机、雕刻机)、工业控制(数控机床、工业机器人)、医疗器械等机电产品中。研究步进电机的控制和测量方法,对提高控制精度和响应速度、节约能源等都具有重要意义。控制核心采用C51芯片,它以其独特的低成本,小体积广受欢迎,当然其易编程也是不可多得的优点为此,本文设计了一个单片机控制步进电机的控制系统,可以实现对步进电机转动速度和转动方向的高效控制。 1.2 设计目的及系统功能 本设计的目的是以单片机为核心设计出一个单片机控制步进电机的控制系统。本系统采用AT89C51作为控制单元,通过键盘实现对步进电机转动方向及转动速度的控制,并且将步进电机的转动速度动态显示在LED数码管上。 1

毕业设计论文 基于单片机的步进电机控制器

第1章绪论 (2) 1.1引言 (2) 1.2步进电机常见的控制方案与驱动技术简介 (4) 1.2.1常见的步进电机控制方案 (4) 1.2.2步进电机驱动技术 (6) 1.3本文研究的内容 (8) 第2章步进电机概述 (9) 2.1步进电机的分类 (9) 2.2步进电机的工作原理 (10) 2.2.1结构及基本原理 (10) 2.2.2两相电机的步进顺序 (10) 2.3 步进电机的工作特点 (13) 2.4本章小结 (15) 第3章系统的硬件设计 (16) 3.1系统设计方案 (16) 3.1.1系统的方案简述与设计要求 (16) 3.1.2系统的组成及其对应功能简述 (16) 3.2单片机最小系统 (18) 3.2.1AT89S51简介 (18) 3.2.2单片机最小系统设计 (23) 3.2.3单片机端口分配及功能 (24) 3.3串口通信模块 (24) 3.4数码管显示电路设计 (25) 3.4.1共阳数码管简介 (25) 3.4.2共阳数码管电路图 (26) 3.5电机驱动模块设计 (27) 3.5.1L298简介 (27) 3.5.2电机驱动电路设计 (28) 3.6驱动电流检测模块设计 (30) 3.6.1OP07芯片简介 (30) 3.6.2ADC0804芯片简介 (32) 3.6.3电流检测模块电路图 (35) 3.7独立按键电路设计 (36) 3.8本章小结 (36) 第4章系统的软件实现 (37) 4.1系统软件主流程图 (37) 4.2系统初始化流程图 (38) 4.3按键子程序 (39) 结论 (43) 1

第1章绪论 1.1引言 步进电动机又称脉冲电动机或阶跃电动机,国外一般称为Steppingmotor、Pulse motor或Stepper servo,其应用发展已有约80年的历史。步进电机是一种把电脉冲信号变成直线位移或角位移的控制电机,其位移速度与脉冲频率成正比,位移量与脉冲数成正比。步进电机在结构上也是由定子和转子组成,可以对旋转角度和转动速度进行高精度控制。当电流流过定子绕组时,定子绕组产生一矢量磁场,该矢量场会带动转子旋转一角度,使得转子的一对磁极磁场方向与定子的磁场方向一着该磁场旋转一个角度。因此,控制电机转子旋转实际上就是以一定的规律控制定子绕组的电流来产生旋转的磁场。每来一个脉冲电压,转子就旋转一个步距角,称为一步。根据电压脉冲的分配方式,步进电机各相绕组的电流轮流切换,在供给连续脉冲时,就能一步一步地连续转动,从而使电机旋转。步进电机每转一周的步数相同,在不丢步的情况下运行,其步距误差不会长期积累。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,同时步进电机只有周期性的误差而无累积误差,精度高,步进电动机可以在宽广的频率范围内通过改变脉冲频率来实现调速、快速起停、正反转控制等,这是步进电动机最突出的优点[1]。 正是由于步进电机具有突出的优点,所以成了机电一体化的关键产品之一,广泛应用在各种自动化控制系统中。随着微电子和计算机技术的发展,步进电机的需求量与日俱增,在各个国民经济领域都有应用[2]。比如在数控系统中就得到广泛的应用。目前世界各国都在大力发展数控技术,我国的数控系统也取得了很大的发展,我国已经能够自行研制开发适合我国数控机床发展需要的各种档次的数控系统。虽然与发达国家相比,我们我国的数控技术方面整体发展水平还比较低,但已经在我国占有非常重要的地位,并起了 2

步进电机控制系统课程设计

河北xxxxxx学院 课程设计说明 书 题目:步进电机控制系统 学院(系): 年级专业: 学号: 学生姓名: 同组学生: 指导教师:

步进电机控制系统 设计者:xxxxx 指导老师:xxxx 1摘要: 由于步进电机自身的特点、不需要位置、速度等信号反馈,只需要脉冲发生器产生足够的脉冲数和合适的脉冲频率,就可以控制步进电机移动的距离和速度。步进电机的运转方向的控制为输入电机各绕组的通电顺序。例如,一个三相步进电机的通电顺序为:a—ab—b—bc—c—ca—a--.....,此时点击正转,若通电顺序改为:a—ac—c—cb—b—ba—a--.....时点击反转。既可以通过改变环形分配器的脉冲输出顺序,也可以通过编程改变输出脉冲的顺序,来改变输入到各绕组的通电顺序,达到控制电击方向的目的。 关键词:步进电机 PLC 步进电机驱动器 引言步进电机是一种常用的电气执行原件,一种多相或单相同步点击,在数控机床、包装机械等自动控制及检测仪表等方面得到广泛运用。随着plc的不短发展。其功能越来越强大,除了有简单的逻辑功能和顺序控制外,运算功能的加入、pid和各类高速指令、使得plc对复杂和特殊系统的控制应用更加广泛。Plc与数控技术的结合产生了各种不同类型的数控设备。 2 任务与要求 (1) 了解步进电机的原理 (2) 熟练使用PLC控制步进电机,了解步进电机驱动器原理 3 装置原理介绍 3.1控制系统功能框图 在步进电机控制系统中,首先控制步进电机使之稳步启动,然后高速运动,接近制定位置时,减速之后低速运动一段时间,在准确地停在预定的位置上,最后步进电机停留2s后,按照前进时的加速—高速—减速—低速的步骤返回到起始点,其运动状态转换过程平稳,其功能框图如图3.1所以,其简单工作过程如图3.2所示。 由于步进电机本身的结构特性决定了它要实现高速运转必须有加速过程,如果在启动时突然加载高频脉冲,电机会产生啸叫、失步甚至不能启动,在停止阶段也是这样,当高频脉冲突然降到零时,电机会产生啸叫和振动,所以在启动和停止时,都必须有一个加速和减速过程。 3.2步进电机控制系统硬件设计 由于步进电机的硬件结构特性,所以对输入的脉冲的频率有所限制,对于低频的脉冲输出时,plc可以利用定时器来完成。若要求步进电机的速度较快时,就需要用plc的高速脉冲输出指令,这时就需要在程序中设置相应的步骤来完成对步进电机的控制。 3.21 组建器材 (1)主机plc 根据系统的控制要求,采用三菱FX系统系列的plc作为控制器。(2)限位开关此系统中共用了两个限位开关:左限位开关和右限位开关。这两个限位开关的作用是控制物体的位置,防止物体超出合理的工作范围。 (3)步进电机步进电机是该系统的执行机构

步进电机控制系统设计

课程设计任务书 设计题目:微机步进电机控制系统设计 设计目的: 1.巩固和加深课堂所学知识; 2.学习掌握一般的软硬件的设计方法和查阅、运用资料的能力; 3.通过步进电机控制系统设计与制作,深入了解与掌握步进电机的运行方式、方向、速 度、启/停的控制。 设计任务及要求:(在规定的时间内完成下列任务) 任务:控制四相步进电机按双八拍的运行方式运行。按下开关SW1时启动步进电机,按ESC键停止工作。采用循环查表法,用软件来实现脉冲循环分配器的功能 对步进电机绕组轮流加电。 要求对题目进行功能分析(四项功能:快速顺时针旋转,慢速顺时针旋转, 快速逆时针旋转和慢速逆时针旋转),进行步进电机远程控制系统硬件电路设 计,画出电路原理图、元器件布线图、实验电路图;绘制程序流程图,进行 步进电机控制程序设计(采用8086汇编语言);系统调试、运行,提交一个 满足上述要求的步进电机控制系统设计。 时间安排:(部分时间,某些工作可以自己安排重叠进行) 具体要求:设计报告撰写格式要求(按提供的设计报告统一格式撰写), 具体内容如下: ①设计任务与要求②总体方案与说明 ③硬件原理图与说明④实验电路图与说明 ⑤软件主要模块流程图 ⑥源程序清单与注释 ⑦问题分析与解决方案(包括调式记录、调式报告,即在调式过程中遇到的主要问 题、解决方法及改进设想); ⑧小结与体会 附录:①源程序(必须有简单注释)②使用说明③参考资料 指导教师签名:08 年12 月01 日 教研室主任(或责任教师)签名:年月日

目录 第1章需求分析 (1) 1.1课程设计题目 (1) 1.2步进电机介绍 (1) 1.3课程设计任务及要求 (1) 1.4软硬件运行环境及开发工具 (1) 第2章概要设计 (2) 2.1设计原理及实现方法 (2) 2.1.1 步进电机控制原理 (2) 2.1.2微机步进电机控制系统原理图 (2) 2.1.3 运行方式与方向的控制——循环查表法 (3) 2.1.4步进电机的启/停控制——设置开关 (4) 2.2微机步进电机控制系统设计流程图 (4) 第3章详细设计 (5) 3.1 硬件设计与实现 (5) 3.2软件设计 (5) 3.2.1正向慢转子程序 (5) 3.2.2正向快转子程序 (6) 3.2.3反向慢转子程序 (6) 3.2.4反向快转子程序 (6) 3.2.5长延时子程序 (7) 3.2.6短延时子程序 (7) 第4章系统调试与操作说明 (7) 4.1系统调试 (7) 4.2 操作说明 (8) 第5章课程设计总结与体会 (8) 参考文献 (9) 附录微机步进电机控制系统源程序 (9)

步进电机控制速度的方法

步进电机只能够由数字信号控制运行的,当脉冲提供给驱动器时,在过于短的时间里,控制系统发出的脉冲数太多,也就是脉冲频率过高,将导致步进电机堵转。要解决这个问题,必须采用加减速的办法。就是说,在步进电机起步时,要给逐渐升高的脉冲频率,减速时的脉冲频率需要逐渐减低。这就是我们常说的“加减速”方法。 步进电机转速度是根据输入的脉冲信号的变化来改变的,从理论上讲,给驱动器一个脉冲,步进电机就旋转一个步距角(细分时为一个细分步距角)。实际上,如果脉冲信号变化太快,步进电机由于内部的反向电动势的阻尼作用,转子与定子之间的磁反应将跟随不上电信号的变化,将导致堵转和丢步。 所以步进电机在高速启动时,需要采用脉冲频率升速的方法,在停止时也要有降速过程,以保证实现步进电机精密定位控制。加速和减速的原理是一样的。以加速实例加以说明:加速过程是由基础频率(低于步进电机的直接起动最高频率)与跳变频率(逐渐加快的频率)组成加速曲线(降速过程反之)。跳变频率是指步进电机在基础频率上逐渐提高的频率,此频率不能太大,否则会产生堵转和丢步。 步电机系统解决方案

加减速曲线一般为指数曲线或经过修调的指数曲线,当然也可采用直线或正弦曲线等。使用单片机或者PLC,都能够实现加减速控制。对于不同负载、不同转速,需要选择合适的基础频率与跳变频率,才能够达到最佳控制效果。指数曲线,在软件编程中,先算好时间常数存贮在计算机存贮器内,工作时指向选取。通常,完成步进电机的加减速时间为300ms以上。如果使用过于短的加减速时间,对绝大多数步进电机来说,就会难以实现步进电机的高速旋转。 深圳市维科特机电有限公司成立于2005年,是步进电机产品的销售、系统集成和应用方案提供商。我们和全球产品性价比高的生产厂家合作,结合本公司专家团队多年的客户服务经验,给客户提供有市场竞争力的步进电机系统解决方案。我们的主要产品有信浓(SHINANO KENSHI)混合式步进电机、日本脉冲(NPM)永磁式步进电机、减速步进电机、带刹车步进电机、直线步进电机、空心轴步进电机、防水步进电机以及步进驱动器、减振垫、制振环、电机引线、拖链线、齿轮、同步轮、手轮等专业配套产品。我们还供应德国TRINAMIC驱动芯片和日本NPM运动控制芯片。根据客户配套需要,我们还可以 步电机系统解决方案

步进电机控制方法

第四节 步进电机的控制与驱动 步进电机的控制与驱动流程如图4-11所示。主要包括脉冲信号发生器、环形脉冲分配器和功率驱动电路三大部分。 步进脉冲 方向电平 图4-11 步进电机的控制驱动流程 二、步进电机的脉冲分配 环形分配器是步进电机驱动系统中的一个重要组成部分,环形分配器通常分为硬环分和软环分两种。硬环分由数字逻辑电路构成,一般放在驱动器的内部,硬环分的优点是分配脉冲速度快,不占用CPU的时间,缺点是不易实现变拍驱动,增加的硬件电路降低了驱动器的可靠性;软环分由控制系统用软件编程来实现,易于实现变拍驱动,节省了硬件电路,提高了系统的可靠性。 1.采用硬环分时的脉冲分配 采用硬环分时,步进电机的通电节拍由硬件电路来决定,编制软件时可以不考虑。控制器与硬环分电路的连接只需两根信号线:一根方向线,一根脉冲线(或者一根正转脉冲线,一根反转脉冲线)。假定控制器为AT89S52单片机,晶振频率为12MHz,如图4-18:P1.0输出方向信号,P1.1输出脉冲信号。 则控制电机走步的程序如下: (1)电机正转100步 MOV 0FH,#100D ;准备走100步 CONT1: SETB P1.0 ;正转时P1.0=1 CLR P1.1 ;发步进脉冲的下降沿(设驱动器对于脉冲的下降沿有效) NOP ;延时(延时的目的是让驱动电路的光耦充分导通) NOP ;延时(根据驱动器的需要,调整延时) SETB P1.1 ;发步进脉冲的上升沿 MOV 0EH,#4EH ;两脉冲之间延时20000μs(决定电机的转速) MOV 0DH,#20H ;20000的HEX码为4E20 CALL DELAY ;调用延时子程序 DJNZ 0FH,CONT1 ;循环次数减1后,若不为0则继续,循环100次 RET (2)电机反转100步 MOV 0FH,#100D ;准备走100步 CONT2: CLR P1.0 ;反转时P1.0=0 CLR P1.1 ;发步进脉冲的下降沿(设驱动器对于脉冲的下降沿有效) NOP ;延时(延时的目的是让驱动电路的光耦充分导通) NOP ;延时(根据驱动器的需要,调整延时) SETB P1.1 ;发步进脉冲的上升沿

基于单片机的步进电机控制系统设计

基于单片机的步进电机控制系统设计 摘要:步进电动机由于利用其组成的开环系统简单、廉价、实用价值高的特点。因此在精度要求比较高的精密仪器以及各种控制装置中有着极其广泛的应用如:喷绘机、刻字机、线切割、机器人等领域。 本文介绍的是一种基于单片机的步进电机的系统设计,用C语言编写出电机的正转、反转、加速、减速、停止程序代码,通过单片机、电机的驱动芯片ULN2003以及相应的按键实现以上操作功能,步进电机的工作状态用相应的LCD1602显示。本文内容介绍了步进电机以及单片机原理、该系统的硬件电路、软件程序。并对软、硬件进行调试,同时介绍了调试过程中出现的问题以及解决问题的方法。该设计具有思路清晰、可靠性高、稳定性强等特点,通过调试实现了上述功能。 关键词:步进电机脉宽调制驱动机构单片机 Abstract: Stepper motor due to the use of the open loop system consisting of a simple, cheap, and the characteristics of high practical value. So in accuracy requirement high precision instrument and various kinds of control device has the extremely widespread application, such as: spraying machine, carving machine, thread cutting, robot, etc. Is introduced in this paper a system based on single-chip stepper motor design, using C language to write the motor forward, reverse, acceleration, deceleration and stop the program code, through single chip, motor drive chip ULN2003 as well as the corresponding button to achieve the above operation function, the working state of the stepper motor with the corresponding LCD1602 display. Content of this article introduces the principle of step motor and single chip microcomputer, hardware circuit and software program of the system. And the hardware and software debugging, and introduces the debugging process problems and the methods to solve the problem. This design has ideas clearly, high reliability, strong stability, etc, through debugging realized the function. Key Words: Stepper motor; Pulse-width modulated; driving mechanism; scm

相关主题
文本预览
相关文档 最新文档