当前位置:文档之家› 计算机组成原理第四章作业答案(终板)

计算机组成原理第四章作业答案(终板)

计算机组成原理第四章作业答案(终板)
计算机组成原理第四章作业答案(终板)

第四章作业答案

4.1 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory.

解:1主存:主存又称为内存,直接与CPU交换信息。

2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。

3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。

4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。

5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。

6 DRAM是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。

7ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。

8 PROM: 是可一次性编程的只读存储器。

9 EPROM 是可擦洗的只读存储器,可多次编程。

10 EEPROM: 即电可改写型只读存储器,可多次编程。

11 CDROM 即只读型光盘存储器。

12 Flash Memory 即可擦写、非易失性的存储器。

4.3存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次?

答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。

主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。

综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。

主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

4. 6. 某机字长为32位,其存储容量是64KB ,按字编址其寻址范围是多少?若主存以字节编址,试画出主存字地址和字节地址的分配情况。 解:存储容量是64KB 时,

(1)按字节编址的寻址范围就是64KB.

(2)按字寻址范围 = 64K ×8 / 32=16K 字 按字节编址时的主存地址分配图如下:

字地址字节地址

讨论:

1、在按字节编址的前提下,按字寻址时,地址的位数仍为16位,即地址编码范围仍为0~64K-1,但字(数)空间为16K 字,字地址不连续。

2、字寻址的单位为:字,不是B (字节)

4.8. 试比较静态RAM 和动态RAM 。

答:静态RAM 和动态RAM 的比较见下表: 特性 SRAM DRAM 存储信息 触发器 电容 破坏性读出 非 是

需要刷新 不要 需要 送行列地址 同时送 分两次送 运行速度 快 慢 集成度 低 高 发热量 大 小 存储成本 高 低 功耗 高 低 可靠性 高 低 可用性 使用方便 不方便 适用场合

高速小容量存储器

大容量主存

0 4

16K ……

65528 65532

4.9. 什么叫刷新?为什么要刷新?说明刷新有几种方法。

解:刷新——对DRAM定期进行的全部重写过程;

刷新原因——因电容泄漏而引起的DRAM所存信息的衰减需要及时补充,因此安排了定期刷新操作;

常用的刷新方法有三种——集中式、分散式、异步式。

集中式:在最大刷新间隔时间内,集中安排一段时间进行刷新;

分散式:在每个读/写周期之后插入一个刷新周期,无CPU访存死时间;

异步式:是集中式和分散式的折中。

4.11. 一个8K×8位的动态RAM芯片,其内部结构排列成256×256形式,存取周期为0.1μs。试问采用集中刷新、分散刷新及异步刷新三种方式的刷新间隔各为多少?

注:该题题意不太明确。实际上,只有异步刷新需要计算刷新间隔。

解:设DRAM的刷新最大间隔时间为2ms,则

异步刷新的刷新间隔=2ms/256行=0.0078125ms =7.8125μs 即:每7.8125μs刷新一行。

集中刷新时,刷新最晚启动时间=2ms-0.1μs×256行=2ms-25.6μs=1974.4μs

集中刷新启动后刷新间隔= 0.1μs即:每0.1μs刷新一行。

集中刷新的死时间=0.1μs×256 =25.6μs

分散刷新的刷新间隔=0.1μs×2 =0.2μs即:每0.2μs刷新一行。

分散刷新一遍的时间=0.1μs×2×256行=51.2μs 则分散刷新时,2ms内可重复刷新遍数=2ms/ 51.2μs ≈39遍

4.14. 某8位微型机地址码为18位,若使用4K×4位的RAM芯片组成模块板结构的存储器,试问:

(1)该机所允许的最大主存空间是多少?

(2)若每个模块板为32K×8位,共需几个模块板?

(3)每个模块板内共有几片RAM芯片?

(4)共有多少片RAM?

(5)CPU如何选择各模块板?

解:

(1)218 = 256K,则该机所允许的最大主存空间是256K×8位(或256KB);

(2)模块板总数= 256K×8 / 32K×8 = 8块;

(3)板内片数= 32K×8位/ 4K×4位= 8 × 2 = 16片;

(4)总片数= 16片×8 = 128片;

(5)CPU通过最高3位地址译码选板,次高3位地址译码选片。地址格式分配如下:

17 15 14 12 11 0

3 3 12

4.15设CPU共有16根地址线,8根数据线,并用/MREQ(低电平有效)作访存控制信号,R/-W作读/写命令信号(高电平为读,低电平为写)。现有这些存储芯片:ROM(2K×8位,4K×4位,8K×8位),RAM(1K×4位,2K×8位,4K×8位),及74138译码器和其他门电路(门电路自定)。

试从上述规格中选用合适的芯片,画出CPU和存储芯片的连接图。要求如下:

(1)最小4K地址为系统程序区,4096~16383地址范围为用户程序区;

(2)指出选用的存储芯片类型及数量;

(3)详细画出片选逻辑。

解:

(1)最小4K地址为系统程序区,4096~16383地址范围为用户程序区;

(2)指出选用的存储芯片类型及数量;

(3)详细画出片选逻辑。

解:(1)地址空间分配图:

系统程序区(ROM共4KB):0000H-0FFFH

用户程序区(RAM共12KB):4096-------------------- 16383 (D)

1,0000,0000,0000-11,1111,1111,1111(B)

1000H----3FFFH。()

(2):ROM:4K ×4位:2片;(位扩展)

RAM:4K ×8位:3片;(字扩展)

选片:ROM:选择4K×4位芯片2片,位并联RAM:选择4K×8位芯片3片,字串联(RAM1地址范围为:1000H-1FFFH,RAM2地址范围为2000H-2FFFH, RAM3地址范围

为:3000H-3FFFH)

CPU和存储器连接逻辑图及片选逻辑如下图(1)所示:

图(1)

(注:修改A15 接/G2A, / MREQ接/G2B,G1接高电平(VCC)!)

4.17. 写出1100、1101、1110、1111对应的汉明码。(配偶原则)

解:有效信息均为n=4位,假设有效信息用b4b3b2b1表示

校验位位数k=3位,(2k>=n+k+1)

设校验位分别为c1、c2、c4,则汉明码共4+3=7位,即:c1c2b4c4b3b2b1

校验位在汉明码中分别处于第1、2、4位

c1=b4⊕b3⊕b1

c2=b4⊕b2⊕b1

c4=b3⊕b2⊕b1

当有效信息为1100时,c1c2c4=011,汉明码为0111100。

当有效信息为1101时,c1c2c4=100,汉明码为1010101。

当有效信息为1110时,c1c2c4=000,汉明码为0010110。

当有效信息为1111时,c1c2c4=111,汉明码为1111111。

4.18. 已知收到的汉明码(按配偶原则配置)为1100100、1100111、1100000、1100001,检查上述代码是否出错?第几位出错?

解:假设接收到的汉明码为:c1c2b4c4b3b2b1

纠错过程如下:

P1=c1⊕b4⊕b3⊕b1

P2=c2⊕b4⊕b2⊕b1

P4=c4⊕b3⊕b2⊕b1

如果收到的汉明码为1100100,则p4p2p1=110,说明代码有错,第6位(b2)出错,有效信息为:0110

如果收到的汉明码为1100111,则p4p2p1=111,说明代码有错,第7位(b1)出错,有

效信息为:0110

如果收到的汉明码为1100000,则p4p2p1=011,说明代码有错,第3位(b4)出错,有效信息为:1000

如果收到的汉明码为1100001,则p4p2p1=100,说明代码有错,第4位(c4)出错,有效信息为:0001

4.19已知接收到下列汉明码,分别写出它们所对应的欲传送的代码。

(1)1100000(按偶性配置)

(4)0011001(按奇性配置)

解:

(1)1100000(按配偶性)

注意:按偶配置,检测时,Pi=0 正确,代表本小组(gi)的1的个数为偶数。

Pi=1错误

如果收到的汉明码为1100000,

则p4p2p1=011,说明代码有错,第3位(b4)出错,有效信息为:1000

(4)0011001(按奇配置)

则:p4p2p1=000

注意:按奇配置,检测时,Pi=0 错误,代表本小组(gi)的1的个数不为奇数个1

Pi=1正确代表本小组(gi)的1的个数为奇数个1(正确)

为了定位,可取反p4p2p1=/0/0/0=111 即第7位出错,有效信息为:1000

4.25 什么是程序访问的局部性?存储系统中哪一级采用了程序访问的局部性原理。

答:局部性访问原理是指程序执行时对存储器的访问是不均匀的,这是由于指令和数据在主存的地址分布不是随机的,而是相对的集中(簇聚)。

存储系统的缓存----主存级和主存-----辅存级都用到程序访问的局部性原理。对缓存---主存级而言,把CPU最近期执行的程序放在容量较小,速度较高的缓存中。对主存---辅存级而言,把程序中访问频度高,比较活跃的部分放在主存中,这样既提高了访存的速度又扩大了存储器的容量。

4.26 计算机中Cache的作用是什么?能不能把Cache扩大,最后取代主存,WHY? 答:Cache的作用是提高访存速度。当Cache容量达到一定值时,命中率不因容量的增大而明显提高,而且Cache成本价高,所以不能取代主存。

4.25(补充). Cache做在CPU芯片内有什么好处?将指令Cache和数据Cache分开又有什么好处?

答:Cache做在CPU芯片内主要有下面几个好处:

1)可提高外部总线的利用率。因为Cache在CPU芯片内,CPU访问Cache时不必占用外部总线。

2)Cache不占用外部总线就意味着外部总线可更多地支持I/O设备与主存的信息传输,增强了系统的整体效率。

3)可提高存取速度。因为Cache与CPU之间的数据通路大大缩短,故存取速度得以提高。

将指令Cache和数据Cache分开有如下好处:

1)可支持超前控制和流水线控制,有利于这类控制方式下指令预取操作的完成。

2)指令Cache可用ROM实现,以提高指令存取的可靠性。

3)数据Cache对不同数据类型的支持更为灵活,既可支持整数(例32位),也可支持浮点数据(如64位)。

4.28. 设主存容量为256K字,Cache容量为2K字,块长为4。

(1)设计Cache地址格式,Cache中可装入多少块数据?

(2)在直接映射方式下,设计主存地址格式。

(3)在四路组相联映射方式下,设计主存地址格式。

(4)在全相联映射方式下,设计主存地址格式。

(5)若存储字长为32位,存储器按字节寻址,写出上述三种映射方式下主存的地址格式。

解:

(1)Cache 容量2K字=211字(Cache地址11位)

块长4字4=22字

Cached1129块

(2)在直接映射方式下,设计主存地址格式

主存容量256K字=218字(主存地址18位)

主存包含的块数:218/22=216块

主存字块标记=主存地址长度- Cache地址长度=18-11=7 位

(3)在四路组相联映射方式下,设计主存地址格式

四路组相联=>每组4块=>r=2 (4块=22 )

Cache可分的组数= 29/22 = 27 =>q=7(组地址需要7位)

主存字块标记=主存地址长度- 组地址长度-字块内地址(b)=18-7-2=9位

(4)在全相联映射方式下,设计主存地址格式:

主存字块标记=主存地址长度- 字块内地址(b)=18-2=16位

同理:若存储字长为32位,存储器按字节寻址,写出上述三种映射方式下主存的地址格式。

Cache 容量2K字=211*32/8=213(Cache地址13位)

每个块容量=4*32/8=16字节(块内地址需4位)

Cache包含的块数:2K字/4字=29块

(1)在直接映射方式下,设计主存地址格式

主存容量256K字=218 字= 218 *32/8=220字节(主存字节地址20位)

每个块容量=4*32/8=16字节(块内地址需4位)

主存包含的块数:218/22=216块

主存字块标记=主存地址长度- Cache地址长度=20-4-9=7 位

(2)在四路组相联映射方式下,设计主存地址格式

四路组相联=>每组4块=>r=2 (4块=22 )

Cache可分的组数= 29/22 = 27 =>q=7 (组地址需要7位)

主存字块标记=主存地址长度- 组地址长度-字块内地址(b)=20-7-4=9 位

(3)在全相联映射方式下,设计主存地址格式:

主存字块标记=主存地址长度- 字块内地址(b)=20-4=16 位

4. 32. 设某机主存容量为4MB,Cache容量为16KB,每字块有8个字,每字32位,设计一个四路组相联映射(即Cache每组内共有4个字块)的Cache组织。

(1)画出主存地址字段中各段的位数;

(2)设Cache的初态为空,CPU依次从主存第0、1、2……89号单元读出90个字(主存一次读出一个字),并重复按此次序读8次,问命中率是多少?

(3)若Cache的速度是主存的6倍,试问有Cache和无Cache相比,速度约提高多少倍?解:(1)由于容量是按字节表示的,则主存地址字段格式划分如下:

1.主存容量为4MB=222B (主存字节地址共22位)

2.Cache容量为16KB=214B (Cache字节地址14位)

3.每字块有8个字,每字32位,=>8*32/8=25 (块内字节地址5位)

4.四路组相联映射(即Cache每组内共有4个字块)

5.Cache包括的块数=214B/8*32=29 个

6.Cache的组数=29 / 4 =27 组(组地址为7位)

7.主存字块标记=主存地址长度- 组地址长度-字块内地址(b)=22-7-5=10位

8 7 2 3 2

(2)由于题意中给出的字地址是连续的,故(1)中地址格式的最低2位不参加字的读出操作。当主存读0号字单元时,每字块有8个字,将主存0号字块(0~7)调入Cache(0组0号块),主存读8号字单元时,将1号块(8~15)调入Cache(1组0号块)……主存读89号单元时,将11号块(88~89)调入Cache(11组0号块)。

共需调90/8 =12次,就把主存中的90个字调入Cache。除读第1遍时CPU需访问主存12次外,以后重复读时不需再访问主存。则在90×8 =720个读操作中:

访Cache次数=(90-12)+630 =708次

Cache命中率=708/720 ≈0.98≈98%

(3)设无Cache时访主存需时720T(T为主存周期),加入Cache后需时:

708′T/6+12T =(118+12)T =130T

则:720T/130T =5.54倍

有Cache和无Cache相比,速度提高了4.54倍左右。

4.41设有效信息为110,试用生成多项式G(x) =11011将其编成循环冗余校验码。

解:编码过程如下:

M(x) =110 n =3

G(x) =11011 k+1 =5 k =4

M(x)·x4 =110 0000

M(x)·x4/G(x) =110 0000/11011=100+1100/11011 R(x) =1100

M(x)·x4+R(x) =110 0000+1100=110 1100 =CRC码(7,3)码

注:此题的G(x)选得不太好,当最高位和最低位出错时,余数相同,均为0001。此时只能检错,无法纠错

4.42 有一个(7,4)码,生成多项式G(x)=x3+x+1,写出代码1001的循环冗余校验码。解:编码过程如下:

M(x) =1001 n =4

G(x) =1011 k+1 =4 k =3

M(x)·x3=1001 000

M(x)·x3/G(x) =1001 000/1011=1010+110/1011 R(x) =110

M(x)·x3+R(x) =1001 000+110=1001 110 =CRC码(7,4)码

计算机组成原理第四章作业答案

第四章作业答案 解释概念:主存、辅存,Cache, RAM, SRAM, DRAM, ROM, PROM ,EPROM ,EEPROM CDROM, Flash Memory. 解:1主存:主存又称为内存,直接与CPU交换信息。 2辅存:辅存可作为主存的后备存储器,不直接与CPU交换信息,容量比主存大,速度比主存慢。 3 Cache: Cache缓存是为了解决主存和CPU的速度匹配、提高访存速度的一种存储器。它设在主存和CPU之间,速度比主存快,容量比主存小,存放CPU最近期要用的信息。 4 RAM; RAM是随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。 5 SRAM: 是静态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠触发器原理存储信息,只要不掉电,信息就不会丢失。 6 DRAM 是动态RAM,属于随机存取存储器,在程序的执行过程中既可读出信息又可写入信息。靠电容存储电荷原理存储信息,即使电源不掉电,由于电容要放电,信息就会丢失,故需再生。 7 ROM: 是只读存储器,在程序执行过程中只能读出信息,不能写入信息。 8 PROM: 是可一次性编程的只读存储器。 9 EPROM 是可擦洗的只读存储器,可多次编程。 10 EEPROM: 即电可改写型只读存储器,可多次编程。 11 CDROM 即只读型光盘存储器。 12 Flash Memory 即可擦写、非易失性的存储器。 存储器的层次结构主要体现在什么地方?为什么要分这些层次?计算机如何管理这些层次? 答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,CPU访存速度加快,接近于Cache的速度,而寻址空间和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,即从程序员的角度看,他所使用的存储器其容量和位价接近于辅存,而速度接近于主存。 综合上述两个存储层次的作用,从整个存储系统来看,就达到了速度快、容量大、位价低的优化效果。 主存与Cache之间的信息调度功能全部由硬件自动完成。而主存—辅存层次的调度目前广泛采用虚拟存储技术实现,即将主存与辅存的一部份通过软硬结合的技术组成虚拟存储器,程序员可使用这个比主存实际空间(物理地址空间)大得多的虚拟地址空间(逻辑地址空间)编程,当程序运行时,再由软、硬件自动配合完成虚拟地址空间与主存实际物理空间的转换。因此,这两个层次上的调度或转换操作对于程序员来说都是透明的。

计算机组成原理第五版 白中英(详细)第5章习题参考答案

第5章习题参考答案 1.请在括号内填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是(IR ); (2)保存当前正在执行的指令地址的寄存器是(AR ) (3)算术逻辑运算结果通常放在(DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的内容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及微操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的内容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

计算机组成原理

第一章 1.说明计算机系统的层次结构。 第一级是微程序级, 第二级是传统机器级, 第三级是操作系统级, 第四级是汇编语言级, 第五级是高级语言级, 第六级是应用语言级 2.冯诺依曼计算机的特点 计算机由运算器、存储器、控制器、输入输出设备五大部分组成 指令和数据以同等地位存放在存储器,并可按地址寻访 指令和数据均用二进制数表示 指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置 指令在存储器内按顺序存放,通常指令是顺序执行的,在特定条件下,可根据运算结果或根据设定条件改变执行顺序 机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 3.计算机的工作步骤 (1)上机前的准备:建立数学模型、确定计算方法、编制解题程序 (2)上机运行 4.指令和数据都存储于存储器中,计算机如何区分他们? 计算机区分指令和数据有以下2种方法: 通过不同的时间段来区分指令和数据,即在取指令阶段(或取指微程序)取出的为指令,在执行指令阶段(或相应微程序)取出的即为数据。 通过地址来源区分,由PC提供存储单元地址的取出的是指令,由指令地址码部分提供存储单元地址取出的是操作数。 第三章 1、什么是总线,特点,为了减轻总线的负载,总线上的部件都应具备什么特点 总线是链接多个部件的信息传输线,是各部件共享的传输介质 特点:某一时刻只能有一路信息在总线上传输 总线上的部件应通过三态驱动缓冲电路与总线连通 2、总线的分类:片内总线;系统总线(数据总线,地址总线);控制总线;通信总线 3、总线的特性:机械特性,电气特性,功能特性,时间特性 4、总线的性能指标:总线宽度,总线带宽,时钟同步/异步,总线复用,信号线数,总线控

计算机组成原理第八章课后部分答案

计算机组成原理第八章课后部分答案

8.1CPU 有哪些功能?画出其结构框图并简要说明每个部件的作用。 解:CPU的主要功能是执行存放在主存储器中的程序即机器指令.CPU是由 控制器和运算器. ALU:实现算逻运算 寄存器:存放操作数 CU :发出各种操作命令序列的控制部件 中断系统:处理异常情况和特殊请求 8.2什么是指令周期?指令周期是否有一个固定值?为什么?解:指令周 期:指取出并执行完一条指令所需的时间。 由于计算机中各种指令执行所需的时间差异很大,因此为了提高 CPU 运行效率,即使在同步控制的机器中,不同指令的指令周期长 度都是不一致的,也就是说指令周期对于不同的指令来说不是一个 固定值。

8.3画出指令周期的流程图,分别说明图中每个子周期的作用。 解:

指令周期流程图 取指周期:取指令间址周期:取有效地址执行周期:取操作数(当指令为访存指令时)中断周期:保存程序断点 8.4设CPU内有这些部件:PC、IR、SP、AC、MAR、MDR、CU。 (1)画出完成简洁寻址的取数指令“ LDA@”X(将主存某地址单元的内容取至AC中)的数据流(从取指令开始)。 (2)画出中断周期的数据流。解:CPU中的数据通路结构方式有直接连线、单总线、双总线、三总线等形式,目前大多采用总线结构,直接连线方式仅适用于结构特别简单的机器中。 下面采用单总线形式连接各部件,框图如下:

MAR PC Bus CU IR SP AC 线 址 地 MDR 1) 图: MDR→AC 2)中断周期流程图如 下:

SP-1→SP 8.7 什么叫系统的并行性?粗粒度并行和细粒度并行有什么区别?解:并行 性:包含同时性和并发性。同时性指两个或两个以上的事件在同一时刻发生,并发性指两个或多个事件在同一时间段发生。即在同一时刻或同一时间段内完成两个或两个以上性质相同或性质不同的功能,只要在时间上存在 相互重叠,就存在并行性。 粗粒度并行是指多个处理机上分别运行多个进程,由多台处理机合作完成一个程序,一般算法实现。 细粒度并行是指在处理机的指令级和操作级的并行性。 8.8 什么是指令流水?画出指令二级流水和四级流水的示意图,它们中哪一个 更能提高处理器速度,为什么?解:指令流水:指将一条指令的执行过程分为n 个操作时间大致相等的阶段,每个阶段由一个独立的功能部件来完成,这样n 个部件可以同时执行n 条指令的不同阶段,从而大大提高 CPU的吞吐率。 指令二级流水和四级流水示意图如下: (3)CPU 在什么条件、什么时候、以什么方式来响应中断

计算机组成原理第五版 白中英(详细)第4章习题参考答案

第4章习题参考答案 1.ASCII码是7位,如果设计主存单元字长为32位,指令字长为12位,是否合理?为什么? 答:不合理。指令最好半字长或单字长,设16位比较合适。一个字符的ASCII 是7位,如果设计主存单元字长为32位,则一个单元可以放四个字符,这也是可以的,只是在存取单个字符时,要多花些时间而已,不过,一条指令至少占一个单元,但只占一个单元的12位,而另20位就浪费了,这样看来就不合理,因为通常单字长指令很多,浪费也就很大了。 2.假设某计算机指令长度为32位,具有双操作数、单操作数、无操作数三类指令形式,指令系统共有70条指令,请设计满足要求的指令格式。 答:字长32位,指令系统共有70条指令,所以其操作码至少需要7位。 双操作数指令 单操作数指令 无操作数指令 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 答:该指令格式及寻址方式特点如下: (1) 单字长二地址指令。 (2) 操作码字段OP可以指定26=64种操作。 (3) 源和目标都是通用寄存器(可分指向16个寄存器)所以是RR型指令,即两个操作数均在寄存器中。 (4) 这种指令结构常用于RR之间的数据传送及算术逻辑运算类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 15 10 9 8 7 4 3 0 答:该指令格式及寻址方式特点如下: (1)双字长二地址指令,用于访问存储器。 (2)操作码字段OP可以指定26=64种操作。 (3)RS型指令,一个操作数在通用寄存器(选择16个之一),另一个操作数 在主存中。有效地址可通过变址寻址求得,即有效地址等于变址寄存器(选择16个之一)内容加上位移量。

计算机组成原理题(附答案)

计算机组成原理题解指南 第一部分:简答题 第一章计算机系统概论 1.说明计算机系统的层次结构。 计算机系统可分为:微程序机器级,一般机器级(或称机器语言级),操作系统级,汇编语言级,高级语言级。 第四章主存储器 1.主存储器的性能指标有哪些?含义是什么? 存储器的性能指标主要是存储容量. 存储时间、存储周期和存储器带宽。 在一个存储器中可以容纳的存储单元总数通常称为该存储器的存储容量。 存取时间又称存储访问时间,是指从启动一次存储器操作到完成该操作所经历的时间。 存储周期是指连续两次独立的存储器操作(如连续两次读操作)所需间隔的最小时间。 存储器带宽是指存储器在单位时间中的数据传输速率。 2.DRAM存储器为什么要刷新?DRAM存储器采用何种方式刷新?有哪几种常用的刷新方式? DRAM存储元是通过栅极电容存储电荷来暂存信息。由于存储的信息电荷终究是有泄漏的,电荷数又不能像SRAM存储元那样由电源经负载管来补充,时间一长,信息就会丢失。为此必须设法由外界按一定规律给栅极充电,按需要补给栅极电容的信息电荷,此过程叫“刷新”。 DRAM采用读出方式进行刷新。因为读出过程中恢复了存储单元的MOS栅极电容电荷,并保持原单元的内容,所以读出过程就是再生过程。 常用的刷新方式由三种:集中式、分散式、异步式。 3.什么是闪速存储器?它有哪些特点? 闪速存储器是高密度、非易失性的读/写半导体存储器。从原理上看,它属于ROM型存储器,但是它又可随机改写信息;从功能上看,它又相当于RAM,所以传统ROM与RAM的定义和划分已失去意义。因而它是一种全新的存储器技术。 闪速存储器的特点:(1)固有的非易失性,(2)廉价的高密度,(3)可直接执行,(4)固态性能。4.请说明SRAM的组成结构,与SRAM相比,DRAM在电路组成上有什么不同之处? SRAM存储器由存储体、读写电路、地址译码电路、控制电路组成,DRAM还需要有动态刷新电路。 第五章指令系统 1.在寄存器—寄存器型,寄存器—存储器型和存储器—存储器型三类指令中,哪类指令的执行时间最长?哪类指令的执行时间最短?为什么? 寄存器-寄存器型执行速度最快,存储器-存储器型执行速度最慢。因为前者操作数在寄存器中,后者操作数在存储器中,而访问一次存储器所需的时间一般比访问一次寄存器所需时间长。 2.一个较完整的指令系统应包括哪几类指令? 包括:数据传送指令、算术运算指令、逻辑运算指令、程序控制指令、输入输出指令、堆栈指令、字符串指令、特权指令等。 3.什么叫指令?什么叫指令系统? 指令就是要计算机执行某种操作的命令 一台计算机中所有机器指令的集合,称为这台计算机的指令系统。 第六章中央处理部件CPU 1.指令和数据均存放在内存中,计算机如何从时间和空间上区分它们是指令还是数据。 时间上讲,取指令事件发生在“取指周期”,取数据事件发生在“执行周期”。从空间上讲,从内存读出的指令流流向控制器(指令寄存器)。从内存读出的数据流流向运算器(通用寄存器)。 2.简述CPU的主要功能。 CPU主要有以下四方面的功能:(1)指令控制程序的顺序控制,称为指令控制。 (2)操作控制 CPU管理并产生由内存取出的每条指令的操作信号,把各种操作信号送往相应部件,从而 控制这些部件按指令的要求进行动作。 (3)时间控制对各种操作实施时间上的控制,称为时间控制。 (4)数据加工对数据进行算术运算和逻辑运算处理,完成数据的加工处理。 3.举出CPU中6个主要寄存器的名称及功能。 CPU有以下寄存器: (1)指令寄存器(IR):用来保存当前正在执行的一条指令。 (2)程序计数器(PC):用来确定下一条指令的地址。 (3)地址寄存器(AR):用来保存当前CPU所访问的内存单元的地址。

计算机组成原理第四章课后题参考答案教程文件

计算机组成原理第四章课后题参考答案

第四章课后题参考答案 3.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 单字长二地址指令; ② 操作码OP可指定=64条指令; ③ RR型指令,两个操作数均在寄存器中,源和目标都是通用寄存器(可分别指定16个寄存器之一);

④ 这种指令格式常用于算术逻辑类指令。 4.指令格式结构如下所示,试分析指令格式及寻址方式特点。 解:指令格式及寻址方式特点如下: ① 双字长二地址指令; ② 操作码OP可指定=64条指令; ③ RS型指令,两个操作数一个在寄存器中(16个寄存器之一),另一个在存储器中(由变址寄存器和偏移量决定),变址寄存器可有16个。

6.一种单地址指令格式如下所示,其中I为间接特征,X为寻址模式,D为形式地址。I,X,D组成该指令的操作数有效地址E。设R为变址寄存器,R1 为基值寄存器,PC为程序计数器,请在下表中第一列位置填入适当的寻址方式名称。 解:① 直接寻址 ② 相对寻址 ③ 变址寻址 ④ 基址寻址 ⑤ 间接寻址 ⑥ 基址间址寻址 12. 根据操作数所在位置,指出其寻址方式(填空): (1)操作数在寄存器中,为(A)寻址方式。 (2)操作数地址在寄存器,为(B)寻址方式。 (3)操作数在指令中,为(C)寻址方式。 (4)操作数地址(主存)在指令中,为(D)寻址方式 (5)操作数的地址,为某一寄存器内容与位移量之和可以是(E,F,G)寻址方式。 解:A:寄存器直接(或寄存器); B:寄存器间接; C:立即;

D:直接; E:相对; F:基址;G:变址 补充一下,间接寻址可以表述为: 操作数地址(主存)在内存中 或者 操作数地址的地址(主存)在指令中

计算机组成原理-第7章以后作业答案

第七章指令系统 ?7-1指令,程序 指令:计算机执行某种操作的命令 程序:由有序的指令串构成,程序要解决一个具体的问题 指令系统:一台计算机能执行的全部指令的集合 指令系统的重要性:软件编程的基础,硬件设计的依据,综合考虑计算机的软硬件是计算机设计的关键因素。 ?7-2操作码 操作码用来指明该指令所要完成的操作。通常位数反映了机器的操作种类,即机器允许的指令条数,如7位→2^7=128条指令 固定长度操作码:操作码长度(占二进制位数)固定不变 硬件设计相对简单 指令译码时间开销小 指令空间利用率较低 可变长度操作码:操作码长度随指令地址数目的不同而不同(可平均缩短指令长度) 硬件设计相对复杂 指令译码时间开销较大 指令空间利用率较高 例:某机器采用固定长度指令系统,16位,包括3地址指令15条,双地址指令10条,单地址指令若干,每个地址占4位。问:该指令系统最多容纳多少个单地址指令,并设计该指令系统的操作码编码方案 析:每条指令:一个唯一操作码编码,不同类型指令具有不同标识,用扩展操作码方案 三15条,1111 双10条,6个没用6*16=96个 ? 7.3什么是指令字长、机器字长和存储字长? ? 7.6某指令系统字长为16位,地址码取4位,试提出一种方案,使该指令系统 有8条三地址指令、16条二地址指令、100条一地址指令。 固定操作码为4位。 8条三地址指令操作码为:0000~0111(剩下1000~1111共8个扩展窗口) 16条二地址指令操作码为:1000 0000~1000 1111 (剩下1001 0000~1111 1111共112个扩展窗口)100条一地址指令操作码为:10010000 0000~10010000 1111 10010001 0000~10010001 1111 10010010 0000~10010010 1111 10010011 0000~10010011 1111 10010100 0000~10010100 1111 10010101 0000~10010101 1111 10010110 0000~10010110 0011

计算机组成原理第五章单元测试(含答案)

第五章指令系统测试 1、以下四种类型指令中,执行时间最长的是()(单选) A、RR型指令 B、RS型指令 C、SS型指令 D、程序控制类指令 2、程序控制类指令的功能是()(单选) A、进行算术运算和逻辑运算 B、进行主存与CPU之间的数据传送 C、进行CPU和I/O设备之间的数据传送 D、改变程序执行的顺序 3、单地址指令中为了完成两个数的算术运算,除地址码指明的一个操作数外,另一个常需采用的寻址方式是( )(单选) A、立即数寻址 B、寄存器寻址 C、隐含寻址 D、直接寻址 4、下列属于指令系统中采用不同寻址方式的目的主要是()(单选) A、为了实现软件的兼容和移植 B、缩短指令长度,扩大寻址空间,提高编程灵活性 C、为程序设计者提供更多、更灵活、更强大的指令 D、丰富指令功能并降低指令译码难度 5、寄存器间接寻址方式中,操作数存放在()中(单选) A、通用寄存器 B、主存 C、数据缓冲寄存器MDR D、指令寄存器 6、指令采用跳跃寻址方式的主要作用是() (单选) A、访问更大主存空间 B、实现程序的有条件、无条件转移 C、实现程序浮动 D、实现程序调用 7、下列寻址方式中,有利于缩短指令地址码长度的是()(单选) A、寄存器寻址 B、隐含寻址 C、直接寻址

D、间接寻址 8、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数的有效地址为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 9、假设某条指令的一个操作数采用寄存器间接寻址方式,假定指令中给出的寄存器编号为8,8号寄存器的内容为1200H,地址1200H中的内容为12FCH,地址12FCH中的内容为3888H,地址3888H中的内容为88F9H.则该操作数为( ) (单选) A、1200H B、12FCH C、3888H D、88F9H 10、某计算机按字节编址,采用大端方式存储信息。其中,某指令的一个操作数的机器数为ABCD 00FFH,该操作数采用基址寻址方式,指令中形式地址(用补码表示)为FF00H,当前基址寄存器的内容为C000 0000H,则该操作数的LSB(即该操作数的最低位FFH)存放的地址是( ) (单选) A、C000 FF00H B、C000 FF03H C、BFFF FF00H D、BFFF FF03H 11、假定指令地址码给出的是操作数所在的寄存器的编号,则该操作数采用的寻址方式是( )(单选) A、直接寻址 B、间接寻址 C、寄存器寻址 D、寄存器间接寻址 12、相对寻址方式中,操作数有效地址通过( )与指令地址字段给出的偏移量相加得到(单选) A、基址寄存器的值 B、变址寄存器的值 C、程序计数器的值 D、段寄存器的值 13、下列关于二地址指令的叙述中,正确的是( ) (单选) A、运算结果通常存放在其中一个地址码所指向的位置 B、地址码字段一定是操作数 C、地址码字段一定是存放操作数的寄存器编号

计算机组成原理论文

合肥学院 课程论文 题目计算机类课程综述类论文 系部计算机科学与技术 专业计算机科学与技术 班级10计本(2)班 学生姓名王仲秋 2012 年 5 月10 日 计算机类课程综述

内容摘要 计算机组成原理是计算机专业人员必须掌握的基础知识。显而易见《计算机组成原理》是计算机科学与技术专业的一门核心的专业必修课程。本课程侧重于讲授计算机基本部件的构造和组织方式、基本运算的操作原理以及部件和单元的设计思想等。但计算机硬件技术的发展十分迅速,各类新器件、新概念和新内容不断涌现,这就要求我们要与时俱进,自主学习新知识。计算机是一门应用广泛、使用面积广、技术含量高的一门学科和技术,生活中的任何一个角落都离不开计算机的应用,生活中的无处不在需要我们了解和清楚计算机的相关知识。本文从《计算机组成原理》基础课程的各个方面对计算机组成原理做了详细的解释。 关键字:构造组织方式基本运算操作原理设计思想 (一)、计算机组成原理课程综述 随着计算机和通信技术的蓬勃发展,中国开始进入信息化时代,计算机及技术的应用更加广泛深入,计算机学科传统的专业优势已经不再存在。社会和应用对学生在计算机领域的知识与能力提出了新的要求。专家们指出,未来10~15 年是我国信息技术发展的窗口期、关键期。 《计算机组成原理》是计算机科学与技术专业必修的一门专业主干课程。课程要求掌握计算机系统各部件的组成和工作原理、相互联系和作用,最终达到从系统、整机的角度理解计算机的结构与组成,并为后续课程的学习奠定基础。因此掌握计算机的组成原理就显得尤为重要,这就要求课程的编写要深入浅出、通俗易懂。本课程在体系结构上改变了自底向上的编写习惯,采用从外部大框架入手,层层细化的叙述方法。这样便更容易形成计算机的整体观念。 该课程总共分为四篇十章,第一篇(第1、2章)主要介绍计算机系统的基本组成、应用与发展。第二篇(第3、4、5章)详细介绍了出CPU外的存储器、输入输出系统以及连接CPU、存储器和I/O之间的通信总线。第三篇(第6、7、8、章)详细介绍了CPU(除控制单元外)的特性、结构和功能,包括计算机的基本运算、指令系统和中断系统等。第四篇(9、10章)专门介绍控制单元的功能,以及采用组合逻辑和微程序方法设计控制单元的设计思想和实现措施。 (二)、课程主要内容和基本原理

计算机组成原理第七章课后部分答案

7.1什么叫机器指令?什么叫指令系统?为什么说指令系统与机器指令的主要功能以 及与硬件结构之间存在着密切的关系? 机器指令:是CPU能直接识别并执行的指令,它的表现形式是二进制编码。机器指令通常由操作码和操作数两部分组成。 指令系统:计算机所能执行的全部指令的集合,它描述了计算机 内全部的控制信息和“逻辑判断”能力。 指令系统是计算机硬件和软件的接口部分,是全部机器指令的集合。 7.2什么叫寻址方式?为什么要学习寻址方式?寻址方式:指确定本条指令的数 据地址以及下一条将要执行的指 令地址的方法,它与硬件结构紧密相关,而且直接影响指 令格式和指令功能。 学习寻址方式,是为了找到指令中参与操作的数据,然后根据指令,得出结果。 7.3什么是指令字长、机器字长和存储字长?指令字长:是指机器指令中二进制 代码的总位数。指令字长取决 于从操作码的长度、操作数地址的长度和操作数地址的个 数。不同的指令的字长是不同的。 机器字长:是指计算机进行一次整数运算所能处理的二进制数据 的位数(整数运算即定点整数运算)。机器字长也就是运 算器进行定点数运算的字长,通常也是CPU内 部数据通路的宽度。即字长越长,数的表示范围也 越大,精度也越高。机器的字长也会影响机器的运算速

度。 存储字长:一个存储单元存储一串二进制代码(存储字),这串 二进制代码的位数称为存储字长,存储字长可以是8 位、 16 位、32 位等。 7.6 某指令系统字长为16位,地址码取 4 位,提出一种方案,使该指令系统有 8 条三地址指令、16 条二地址指令、100 条一地址指令。 解:三地址指令格式如下: 4 4 4 4 OP A1 A2 A3 指令操作码分配方案如下: 4 位OP 0000 , ,, ,A1,A2,A3:8 条三地址指令 0111 , 1000,0000, ,, ,,, ,A2 ,A3:16 条二地址指令 1000,1111, 1001,0000,0000, A3:100 条一地址指令 1001, 0110, 0011, 1001, 0110, 0100,

郑大远程教育《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 剩余时间: 59:56 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。D A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。A A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。C A、并发性 B、同时性 C、并行性 D、共享性

4、不属于RISC的特点的是________。B A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。C A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面?ABC A、时间重叠 B、资源重复 C、资源共享 D、集中控制 2、并行性包含哪些方面的含义?AC A、同时性 B、稳定性 C、并发性 D、高效率

3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样?ABCDE A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别?ABCD A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些?AB A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性”

计算机组成原理第四章单元测试题

存储系统(一)单元测验 1、CPU可直接访问的存储器是 A、磁盘 B、主存 C、光盘 D、磁带 2、主存储器和CPU之间增加高速缓冲存储器(Cache)的目的是 A、提高存储系统访问速度 B、简化存储管理 C、扩大主存容量 D、支持虚拟存储技术 3、存储字长是指 A、存储器地址线的二进制位数 B、存放在一个存储单元中的二进制位数 C、存储单元总数 D、寄存器的数据位数 4、计算机字长32位,主存容量为128MB,按字编址,其寻址范围为 A、0 ~ 32M-1 B、0 ~ 128M-1 C、0 ~ 64M-1 D、0 ~ 16M-1 5、字位结构为256Kx4位SRAM存储芯片,其地址引脚与数据引脚之和为 A、18 B、22 C、24 D、30 6、某SRAM芯片,存储容量为64K×16位,该芯片的地址线和数据线数目分别为 A、64,16 B、16,64 C、16,16 D、64,64 7、假定用若干块4K *4位的存储芯片组成一个8K*8位的存储器,则地址0B1F所在芯片的最小地址是 A、0000H B、0600H C、0700H D、0B00H

8、计算机系统中的存贮器系统是指 A、RAM和ROM存贮器 B、Cache C、磁盘存储器 D、Cache、主存贮器和外存贮器 9、用若干片2K′4位的存储芯片组成一个8K′8位的存储器,则地址0B1FH所在的芯片在全局的最大地址是 A、0CFFH B、0BFFH C、1BFFH D、0FFFH 10、动态存储器刷新以()为单位进行 A、存储单元 B、行 C、列 D、字节 11、下列存储器类型中,速度最快的是 A、DRAM B、Flash Memory C、SRAM D、EPROM 12、某计算机字长32位,下列地址属性中属于按双字长边界对齐的是 A、存储器地址线低三位全部为0 B、存储器地址线低二位全部为0 C、存储器地址线最低为0 D、存储器地址线低三位取值随意 13、在32位的机器上存放0X12345678,假定该存储单元的最低字节地址为0X4000,则在小端存储模式下存在在0X4002单元的内容是 A、0X12 B、0X34 C、0X56 D、0X78 14、关于内存的下列说法中,错误的是 A、内存的存取速度不能低于CPU速度,否则会造成数据丢失 B、程序只有在数据和代码等被调入内存后才能运行 C、采用虚拟内存技术后程序可以在硬盘上直接运行 D、某计算机内存容量为8GB,按字节编址,那么它的地址总线为33位

《计算机组成原理》第10章在线测试

《计算机组成原理》第10章在线测试 《计算机组成原理》第10章在线测试剩余时间:59:55 答题须知:1、本卷满分20分。 2、答完题后,请一定要单击下面的“交卷”按钮交卷,否则无法记录本试卷的成绩。 3、在交卷之前,不要刷新本网页,否则你的答题结果将会被清空。 第一题、单项选择题(每题1分,5道题共5分) 1、Amdahl 提出的系统结构定义中的程序设计是为________设计者所看到的计算机属性。 A、机器语言 B、C++ C、Java D、机器语言或编译程序设计者 2、Cache ,多模块交叉技术是属于________层次技术。 A、存储器 B、控制器 C、运算器 D、总线 3、________主要表现为时间重叠、资源重复和资源共享。 A、并发性 B、同时性 C、并行性 D、共享性 4、不属于RISC的特点的是________。 A、流水线结构 B、寻址种类多 C、指令长度固定 D、指令格式种类少 5、Intel公司制成的80386使得X86微处理器进入第________代。 A、一 B、二 C、三 D、四 第二题、多项选择题(每题2分,5道题共10分) 1、计算机并行性主要表现为哪些方面? A、时间重叠 B、资源重复 C、资源共享 D、集中控制

2、并行性包含哪些方面的含义? A、同时性 B、稳定性 C、并发性 D、高效率 3、计算机系统结构、计算机组成和计算机实现三个概念之间的关系怎样? A、系统结构是计算机系统的软、硬件的界面 B、计算机组成是计算机系统结构的逻辑实现 C、计算机实现是计算机组成的物理实现 D、一种计算机系统结构可以有多种组成实现 E、一种组成也可以有多种物理实现 4、按照指令流和数据流的不同组织方式,指令流和数据流的多重性,将计算机系统分为哪些类别? A、单指令流单数据流(SISD)计算机系统 B、单指令流多数据流(SIMD)计算机系统 C、多指令流单数据流(MISD)计算机系统 D、多指令流多数据流(MIMD)计算机系统 5、计算机更新换代的标志有哪些? A、计算机的器件 B、系统结构的特点 C、指令系统的复杂程度 D、CPU执行指令的速度 第三题、判断题(每题1分,5道题共5分) 1、遵循同一标准,具有开放系统特点的计算之间具有良好的“可移植性”和“互操作性” 正确错误 2、只要是开放系统的计算机,其操作系统和应用程序即可互相交换使用,而不必作任何修改。

计算机组成原理课后答案第四章_庞海波

第四章思考题与习题 1.解释下列概念主存、辅存、Cache、RAM、SRAM、DRAM、ROM、PROM、EPROM、EEPROM、CDROM、Flash Memory 答: 主存:与CPU 直接交换信息,用来存放数据和程序的存储器。 辅存:主存的后援存储器,不与CPU 直接交换信息。 CACHE:为了解决CPU 和主存的速度匹配,设在主存与CPU之间,起缓冲作用,用于提高访存速度的一种存储器。 RAM:随机存储器:是随机存取的,在程序执行过程中既可读出也可写入,存取时间与存储单元所在位置无关。 SRAM:静态RAM,以触发器原理存储信息。 DRAM:动态RAM,以电容充放电原理存储信息。 ROM:只读存储器,在程序执行过程中只能读出,而不能对其写入。 PROM:一次性编程的只读存储器。 EPROM:可擦除的可编程只读存储器,用紫外线照射进行擦写。 EEPROM:用电可擦除的可编程只读存储器。 CDROM:只读型光盘 Flash Memory:快擦型存储器,是性能价格比好,可靠性高的可擦写非易失型存储器 2.计算机中哪些部件可用于存储信息,请按其速度、容量和价格/位排序说明。 答: 寄存器、缓存、主存、磁盘、磁带等。 速度按顺序越来越慢,容量越来越高和价格/位越来越低 3.存储器的层次结构主要体现在什么地方为什么要分这些层次,计算机如何管理这些层次答:存储器的层次结构主要体现在Cache—主存和主存—辅存这两个存储层次上。 Cache—主存层次在存储系统中主要对CPU访存起加速作用,即从整体运行的效果分析,接近于Cache的速度,而容量和位价却接近于主存。 主存—辅存层次在存储系统中主要起扩容作用,其容量和位价接近于辅存,而速度接近于主存 4.说明存取周期和存取时间的区别。 答: 存取周期和存取时间的主要区别是:存取时间仅为完成一次存取操作的时间,而存取周期不仅包含操作时间,还包含操作后线路的恢复时间。即: 存取周期= 存取时间+ 恢复时间 5.什么是存储器的带宽若存储器的数据总线宽度为32 位,存取周期为200ns,则存储器的带宽是多少 解:存储器的带宽指单位时间内从存储器进出信息的最大数量。 存储器带宽= 1/200ns×32位= 160M位/秒= 20MB/S = 5M字/秒 6.某机字长为32 位,其存储容量是64KB,按字编址它的寻址范围是多少若主存以字节编

计算机组成原理习题 第五章

第五章 一.填空题 1.控制器由于设计方法的不同可分为型、型和型控制器。 2.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 3.微程序控制的计算机中的控制存储器CM是用来存放的。 4.在微指令的字段编码法中,操作控制字段的分段并非是任意的,必须遵循的分段原则中包括:①把性的微命令分在同一段内;②一般每个小段要留出一个状态,表示。 5.微指令分为和微指令两类,微指令可以同时执行若干个微操作,所以执行机器指令的速度比微指令快。 6.在CPU中,指令寄存器的作用是,其位数取决于;程序计数器的作用是,其位数取决于。 7.指令周期是,最基本的指令周期包括和。 8.根据CPU访存的性质不同,可将CPU的工作周期分为、、和。 9.在CPU中保存当前正在执行的指令的寄存器是,保存下一条指令地址的寄存器是,保存CPU访存地址的寄存器是。 10.中断判优可通过和实现,前者速度更快。 11.中断服务程序的入口地址可通过和寻找。 12.在硬件向量法中,可通过两种方式找到服务程序的入口地址,一种是,另一种是。 13.CPU从主存取出一条指令并执行该指令的时间叫做,它常常用若干个来表示,而后者又包含有若干个。 14.程序顺序执行时,后继指令的地址由形成,遇到转移指令和调用指令时,后继指令的地址从获得。 15.控制器在生成各种控制信号时,必须按照一定的进行,以便对各种操作实施时间上的控制。 16.机器X和Y的主频分别是8MHz和12MHz,则X机的时钟周期为μs。

若X机的平均指令执行速度为0.4MIPS,则X机得平均指令周期为μs。若两个机器的机器周期内时钟周期数相等,则Y机得平均执行速度为MIPS。 17.一个主频为25MHz的CPU,平均每条指令包含2个机器周期,每个机器周期包含2个时钟周期,则计算机的平均速度是。如果每两个机器周期中有一个用于访存,而存储器速度较慢,需再插入2个时钟周期,此时指令周期为μs。 18.微指令格式可分为型和型两类,其中型微指令用较长的微程序结构换取较短的微指令结构。 19.在用微程序实现的控制器中,一条机器指令对应若干条,它又包含若干。微指令格式分成型和型两类,型微指令可同时执行若干个微操作,所以执行指令的速度比快。 20.实现机器指令的微程序一般存放在中,而用户程序存放在中,前者的速度比后者。若采用水平型微指令,则微指令长度一般比机器指令。 21.某计算机采用微程序控制,微指令字中操作控制字段共16位,若采用直接控制,则可以定义种微操作,此时一条微指令最多可同时启动个微操作。若采用编码控制,并要求一条微指令需同时启动4个微操作,则微指令字中的操作控制字段应分段,若每个字段的微命令数相同,这样的微指令格式最多可包含个微操作命令。 22.在微程序控制器中,一次能够定义并执行多个并行操作命令的微指令叫 做型微指令。若采用微操作码方式,一次只能执行一个操作命令的微指令(例如,控制信息从某个源部件到某个目标部件)叫做型微指令,后者实现一条机器指令的微程序要比前者编写的微程序。 23.在串行微程序控制器中,执行现行微指令的操作与取下一条微指令的操作在时间上是进行的,所以微指令周期等于。在并行为程序控制器中,执行现行微指令的操作与取下一条微指令的操作是进行的,所以微指令周期等于。 二.选择题

计算机组成原理第七章课后部分答案 0528

计算机组成原理第七章课后部分答案

7.1 什么叫机器指令?什么叫指令系统?为什么说指令系统与机器指令的主要 功能以及与硬件结构之间存在着密切的关系? 机器指令:是CPU能直接识别并执行的指令,它的表现形式是二进制编码。机器指令通常由操作码和操作数两部分组 成。 指令系统:计算机所能执行的全部指令的集合,它描述了计算机 内全部的控制信息和“逻辑判断”能力。 指令系统是计算机硬件和软件的接口部分,是全部机器指令的集合。 7.2 什么叫寻址方式?为什么要学习寻址方式? 寻址方式:指确定本条指令的数据地址以及下一条将要执行的指令地址的方法,它与硬件结构紧密相关,而且直接影 响指令格式和指令功能。 学习寻址方式,是为了找到指令中参与操作的数据,然后根据指令,得出结果。 7.3什么是指令字长、机器字长和存储字长? 指令字长:是指机器指令中二进制代码的总位数。指令字长取决于从操作码的长度、操作数地址的长度和操作数地址 的个数。不同的指令的字长是不同的。 机器字长:是指计算机进行一次整数运算所能处理的二进制数据 的位数(整数运算即定点整数运算)。

机器字长也就是运算器进行定点数运 算的字长,通常也是CPU内部数据通 路的宽度。即字长越长,数的表示范 围也越大,精度也越高。机器的字长 也会影响机器的运算速度。 存储字长:一个存储单元存储一串二进制代码(存储字),这串二进制代码的位数称为存储字长,存储字长可以是8 位、16位、32位等。 7.6 某指令系统字长为16位,地址码取4位,提出一种方案,使该指令系统有 8条三地址指令、16条二地址指令、100条一地址指令。 解:三地址指令格式如下: 4 4 4 4 OP A1 A2 A3 指令操作码分配方案如下: 4位OP 0000, ……, A1,A2,A3:8条三地址指令 0111, 1000,0000, ……,……, A2,A3:16条二地址指令 1000,1111, 1001,0000,0000,

计算机组成原理第5章习题参考答案

第5章习题参考答案 1.请在括号填入适当答案。在CPU中: (1)保存当前正在执行的指令的寄存器是( IR ); (2)保存当前正在执行的指令地址的寄存器是( AR ) (3)算术逻辑运算结果通常放在( DR )和(通用寄存器)。 2.参见图5.15的数据通路。画出存数指令“STO Rl,(R2)”的指令周期流程图,其含义是将寄存器Rl的容传送至(R2)为地址的主存单元中。标出各微操作信号序列。 解: STO R1, (R2)的指令流程图及为操作信号序列如下:

STO R1, (R2) R/W=R DR O, G, IR i R2O, G, AR i R1O, G, DR i R/W=W 3.参见图5.15的数据通路,画出取数指令“LAD (R3),R0”的指令周期流程图,其含义是将(R3)为地址主存单元的容取至寄存器R2中,标出各微操作控制信号序列。 解: LAD R3, (R0)的指令流程图及为操作信号序列如下:

PC O , G, AR i R/W=R DR O , G, IR i R 3O , G, AR i DR O , G, R 0i R/W=R LAD (R3), R0 4.假设主脉冲源频率为10MHz ,要求产生5个等间隔的节拍脉冲,试画出时序产生器的逻辑图。 解:

5.如果在一个CPU 周期中要产生3个节拍脉冲;T l =200ns ,T 2=400ns ,T 3=200ns ,试画出时序产生器逻辑图。 解:取节拍脉冲T l 、T 2、T 3的宽度为时钟周期或者是时钟周期的倍数即可。所以取时钟源提供的时钟周期为200ns ,即,其频率为5MHz.;由于要输出3个节拍脉冲信号,而T 3的宽度为2个时钟周期,也就是一个节拍电位的时间是4个时钟周期,所以除了C 4外,还需要3个触发器——C l 、C 2、C 3;并令 211C C T *=;321C C T *=;313C C T =,由此可画出逻辑电路图如下:

相关主题
文本预览
相关文档 最新文档