当前位置:文档之家› 数字电路实验_数字显示电路

数字电路实验_数字显示电路

数字电路实验_数字显示电路
数字电路实验_数字显示电路

数字显示电路

——组合电路综合设计

一.实验目的

数字显示电路实验将传统的4个分离的基本实验,即基本门实验,编码器、显示译码器、7段显示器实验,加法器实验和比较器实验综合为—个完整的设计型的组合电路综合实验。通过本实验,要求学生熟悉各种常用MSI组合逻辑电路的功能与使用方法,学会组装和调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术,使学生具有数字系统外围电路、接口电路方面的综合设计能力。

1)掌握基本门电路的应用,了解用简单门电路实现控制逻辑的方法。

2)掌握编码、译码和显示电路的设计方法。

3)掌握用全加器、比较器电路的设计方法。

二.设计要求

操作面板左侧有16个按键,编号为0到15,面板右侧配2个共阳7段显示器,操作面板图下图所示。

设计一个电路:当按下小于10的按键后,右侧低位7段显示器显示数字,左侧7段显示器显示0;当按下大于9的按键后,右侧低位7段显示器显示个位数字,左侧7段显示器显示l。若同时按下几个按键,优先级别的顺序是15到0。现配备1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,2个74LS47显示译码器。

三.各模块的设计

该数字显示电路为组合逻辑电路,可分为编码、译码和显示电路以及基本门电路、全加器电路。实验采用的主要器件有1个4位二进制加法器74LS283,2个8线-3线优先编码器74LSl48,与非门74LS00,2个显示译码器74LS47。

各种芯片的功能介绍如下:

1)8—3线优先编码器74LSl48简介及工作原理:

在数字系统中,常采用多位二进制数码的组合对具有某种特定含义的信号进行编码。完成编码功能的逻辑部件称为编码器。编码器有若干个输入,对于每一个有效的输入信号,给与电平信号的形式表示的特定对象,产生惟一的一组二进制代码与之对应。

按照编码信号的特点和要求,编码器分为3类。即二进制编码器,可用与非门构成4-2线、8-3线编码器。二—十进制编码器,将0~9十进制数变成BCD 码,如74LS147、优先编码器。

74LS148是8-3线优先编码器,其外引线排列如下图所示。

7I ~0I 为

8个信号输入,低电平有效。210Y Y Y 、

、为3位代码输出(反码输出)。ST 为选通输入端,当ST =0时允许编码;当ST =1时输出210

Y Y Y 、、和EX S Y Y 、

被封锁,编码被禁止。S Y 是选通输出端,级联应用时,高位片的S Y 端与低位片的ST 端相连接,可以扩展优先编码功能。EX Y 为优先扩展输出端,级联应用时可作为输出位的扩展端。

74LS148是一种常用的8—3线优先编码器,其功能真值如表一所示。

2)3—8线二进制显示译码器74LS47简介及工作原理:

译码是编码的逆过程,以码器的功能与编码器相反,它将具有特定含义的不同二进制代码辨别出来,翻译成对应的输出信号。

译码器也分成3类,二进制译码器如3—8线译码器74LS138。二—十进制译码器可实现各种代码之间的转换,例如74LS145。显示译码器,用来驱动各种数字显示器,如共阳极数码驱动器74LS47。

74LS47是驱动共阳极数码管的译码驱动器。其外引线排列如图所示。为了直接驱动指示灯,74LS47的输出是低电平作用的,即输出为0时,对应字段点亮;输出为1时,对应字段熄灭。A 、B 、C 、D 接收二

进制码输入,a b c d e f g

Q Q Q Q Q Q Q 、、、、、、的输出分别驱动7段一码管的a 、

b 、

c 、

d 、

e 、

f 和

g 段。

当LT 接低电平是,译码器各段输出低电平,数码管7段全亮,因此可利用此段输入低电平对数码管进行测试。RBI 是动态灭零输入使能端,当BI =1,LT =1,RBI =0时,如果输入数码DCBA=0000,译码器各段输出均为高电平,数码管不显示数字(但输入其它数码,数码管仍显示),并且灭零输出RBO 为0。利用RBI 端,可对无意义的零进行消隐。BI 是

静态灭灯输入使能端,它与动态灭零输出RBO 共用一个输出端,当BI =0,不论DCBA 为何状态,译码器各段输出均为高电平,显示器各段均不亮,

利用BI 可对数码管进行熄灭或工作控制。RBO 是动态灭零输出端,当RBI

=0、LT =0,、DCBA=0000时,且RBO =0表示译码器处于灭零状态。RBO 端的设置主要用于多个译码器级联时,对无意义的零消隐。

3)集成加法器74LS283功能简介及工作原理:

全加器是实现二进制加运算的功能器件,然而人们更习惯于十进制的运算。用4位二进制加法器构成BCD 码加法器,当运算结果(和)小于或等于1001时,BCD 码加法与4位二进制加法结果相同;当和数大于1001,由于BCD 码是逢十进一,而4位二进制加法是逢十六进一,因此要在组间进位方式上加一个校正电路,即在4位二进制数相加结果大于9时,电路在自动加6。如集成加法器74LS283

集成加法器74LS283是4位二进制超前进位全加器。外引线排列如

图4所示。1234A A A A 、、、和1234B B B B 、、、分别为加数和被加数,1∑、

2∑、3

∑、4

为和数,0C 为低位进位,4C 为本进位。

74LS283逻辑功能表

4)集成芯片74LS00功能简介

由于编码器输出的为反码,所以在编码器输出到加法器的中间加入了与非门用与对反码的反相成为原码。与非门芯片74LS00管脚如图三

四.电路图设计及原理、功能说明

数字显示电路设计电路图如下图所示:

电路工作原理

(1)编码、译码和显示电路

16线—4线编码器输入信号为15A~0A,低电平有效,而且15A的优先权最高,0A的优先权最低。输出3z、2z、1z、0z为4位二进制反码(即0000~1111)。可用第一片的输入端7I~0I。分别接15A~8A,第二片的7I~ 0I接7A~0A,显然第一片的优先权应高于第二片,只有当15A~8A无信号时才允许第二片工作。因此,将第一片的选通输出端s Y和第二片的控制端s相连,即可实现上述功能。通过与非门,将3z、2z、1z、0z取反。

(2)基本门电路、全加器电路

根据系统的要求,显示输入应为8421BCD码,可以采用加6的方法实现。当小于9时,直接输入;当大于9时,将BCD码加6(溢出后相当于减10)且十位进1,如图2—3所示,由74LS74的真值表可知面

BI/RBO,LT,RBI三引脚置高电平。

(3)电路实现的功能概述

电路用两片74LS148,第一片为高位输入片,第二片为低位输入片,在高位工作时,要求低位禁止工作。电路通过将高位片的选通输出端s Y 接到低位片的控制输入端S,当高位片工作时s Y输出为高电平低位片不工作;当高位片不工作时,s Y输出为低电平,低位片工作,因此实现了

高低位优先级别。

由于编码器输出的为反码,所以在编码器输出到加法器的中间加入了与非门用与对反码的反相成为原码。因为编码器只能有一片工作,在另一片不工作时其输出端为高电平,因此三个与非门对工作片来说相当非门的作用。

用4位二进制加法器构成BCD码加法器,当运算结果(和)小于或等于1001时,BCD码加法与4位二进制加法结果相同;当和数大于1001,由于BCD码是逢十进一,而4位二进制加法是逢十六进一,因此要在组间进位方式上加一个校正电路,即在4位二进制数相加结果大于9时,电路在自动加6。电路如图5所示。

Y输出为0,经反相器后为3Z=1。当1Z,2Z有电路高位片工作时,ES

一个为高电平时(3Z=1,1Z=1时为1010,即数字10)经过三个与非门

后输出为高电平,所以加法器加6。同时高位译码器输入0001,高位数码显示管显示。

五.元器件清单

74LS148 2个

74LS00 7个

7447 2个

74LS283 1个

共阳极数码管 2个

开关 16个

电阻若干

六.实验感想

通过本次综合设计实验,我不仅学会了如何把编码器、显示译码器、7段显示器实验,加法器实验和比较器等芯片联系到一起。还熟练的掌握了每个芯片的功能,管脚接法。在实验过程中,我们小组成员分工明确,相互帮助,共同进步,很好的了解了各种常用MSI组合逻辑电路的功能与使用方法,学会组装和调试各种MSI组合逻辑电路,掌握多片MSI、SSI组合逻辑电路的级联、功能扩展及综合设计技术。为接下来的时序电路打好了基础,我想这是一次不错的收获和实践。

上学期我们设计及焊接了模电实验要求的语音放大器,设计及焊接的过程都比较顺利,但最终调试的过程有些波折。而本学期数字电路第一个自己设计的实验的调试过程相对容易了很多。电路的设计及焊接也没太多的复杂度。之前我们也已经在课上做了几次实验板上的电路功能的实现过程,此次完全靠自己动手设计及焊接出来的电路,感觉蛮有意思的。这个电路中主要使用了编码器、加法器及显示译码器实现了0-15数字在数码管上的显示功

能,为我们下一个时序电路的实际奠下了一定的基础。

这次焊板子我们直接利用书上的电路图,看起来是很简单,但是由于其引脚的接法容易出错,于是我们在接每一根导线的时候都十分小心,想到上次焊板子的时候我们花费了很大的精力才成功,于是我们认为这板子还得经过大量时间的调试才会成功,但是当我们焊接完成后便发现我们的板子马上就能用了!看来数电的确比模电要容易一些。而在交板子的时候还出现了一个小插曲:当我们准备交板子时,发现其功能不对!当时我们便慌张了,难道和模电一样有不确定因素?但是我们很快发现是由于我们的一个芯片掉了!我们接上后便成功了。

66 数字电路综合设计

6.6 数字电路综合设计 6.6.1汽车尾灯控制电路 1. 要求:假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟),要求汽车正常运行时指示灯全灭;右转弯时,右侧3个指示灯按右循环顺序点亮;左转弯时左侧三个指示灯按左循环顺序点亮;临时刹车时所有指示灯同时闪烁。2.电路设计: (1)列出尾灯和汽车运行状态表如表6.1所示 (2)总体框图:由于汽车左或右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。由此得出在每种运行状态下,各指示灯和各给定条件(S1、S0、CP、Q1、Q0)的关系,即逻辑功能表(如表6-2所示(表中0表示灯灭,1表示灯亮)。 由表6-2得总体框图如图6.6-1所示 图6.6-1汽车尾灯控制电路原理框图

(3)单元电路设计 三进制计数器电路可根据表6-2由双J—K触发器74LS76构成。 汽车尾灯控制电路如图6.6-2所示,其显示驱动电路由6个发光二极管构成;译码电路由3—8线译码器74LSl38和6个和门构成。74LSl38的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输出端。当S1=0,使能信号A=G=1,计数器的状态为00,01,10时,74LSl38对应的输出端 Y、1Y、2Y依次为0有效(3Y、4Y、5Y信号为“1” 0 无效),反相器G1—G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。若上述条件不变,而S1=1,则74LSl38对应的输出端 Y、5Y、6Y依次为0有 4 效,即反相器G4~G6的输出端依次为0,故指示灯D4→D5→D6按顺序点亮,示意汽车左转弯。当G=0,A=1时,74LSl38的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。 对于开关控制电路,设74LSl38和显示驱动电路的使能

数字电路全部实验

数字电子技术 实验报告 实验一门电路逻辑功能及测试 (1) 实验二数据选择器与应用 (4) 实验三触发器及其应用 (8) 实验四计数器及其应用 (11) 实验五数码管显示控制电路设计 (17) 实验六交通信号控制电路 (19) 实验七汽车尾灯电路设计 (25) 班级:08030801 学号:2008301787 2008301949 姓名:纪敏于潇

实验一 门电路逻辑功能及测试 一、实验目的: 1.加深了解TTL 逻辑门电路的参数意义。 2.掌握各种TTL 门电路的逻辑功能。 3.掌握验证逻辑门电路功能的方法。 4.掌握空闲输入端的处理方法。 二、实验设备: THD —4数字电路实验箱,数字双踪示波器,函数信号发射器, 74LS00二输入端四与非门,导线若干。 三、实验步骤及内容: 1.测试门电路逻辑功能。 选用双四输入与非门74LS00一只,按图接线,将输入电平按表置位,测输出电平 用与非门实现与逻辑、或逻辑和异或逻辑。用74LS00实现与逻辑。 用74LS00实现或逻辑。用74LS00实现异或逻辑。 2.按实验要求画出逻辑图,记录实验结果。 3.实验数据与结果 将74LS00二输入端输入信号分别设为信号A 、B 用74LS00实现与逻辑 1A B A B =? 逻辑电路如下:

12 3 74LS00AN 4 5 6 74LS00AN A B A 端输入TTL 门信号, B 端输入高电平,输出波形如下: A 端输入TTL 门信号, B 端输入低电平,输出波形如下: 1、 用74LS00实现或逻辑 11A B A B A B +=?=???逻辑电路如下

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

华师网络2014年9月课程考试《数字电路》练习测试题库及答案

华中师范大学网络教育学院 《数字电路》练习测试题库及答案 一、单项选择题。 1、在下列逻辑电路中,不是组合逻辑电路的是------ 。 A. 译码器 B. 编码器 C. 全加器 D. 寄存器 2、时序电路可以由____________组成。 A.门电路 B. 触发器或门电路 C. 触发器或触发器和门电路的组合 3、时序电路输出状态的改变____________。 A. 仅与该时刻输入信号的状态有关 B. 仅与时序电路的原状态有关 C. 与以上两者皆有关 4、组合电路的竞争和险象是指___________。 A. 输入信号有干扰时,在输出端产生了干扰脉冲 B. 输入信号改变状态时,输出端可能出现的虚假信号 C. 输入信号不变时,输出端可能出现的虚假信号 5、仅具有“置0” “置1”功能的触发器叫___________。 A. JK触发器 B. RS触发器 C. D触发器 6、译码电路的输入量是____________。 A. 二进制 B. 十进制 C. 某个特定的输入信号 7、由n个JK触发器构成的扭环形计数器,其有效计数状态共有___________个A.n;B.2的n次方;C.2n 8、编码电路和译码电路中,____________电路的输出是二进制代码。 A. 编码 B. 译码 C. 编码和译码 9、ROM是指_____________。 A. 随机读写存储器 B. 只读存储器 C. 不可擦除式只读存储器 D. 可擦可编程只读存储器 10、寄存器在电路组成上的特点是____________。

A. 有CP输入端,无数据输入端 B. 有CP输入端和数据输入端 C. 无CP输入端,有数据输入端 11、组合电路的竞争和险象是指___________。 A. 输入信号有干扰时,在输出端产生了干扰脉冲 B. 输入信号改变状态时,输出端可能出现的虚假信号 C. 输入信号不变时,输出端可能出现的虚假信号 12、若使JK触发器仅有翻转功能,控制端J、K正确接法是___________。A.J= K= Q n B.J=K=1 C.J=K=0 13、逻辑函数中的最小项_______________。 A. 任何两个不同的最小项乘积为1 B. 任何两个不同的最小项乘积为0 C. 任何两个不同的最小项乘积为1或0 14、下列触发器中,没有约束条件的是_________ 。 A.基本RS触发器B.主从RS触发器C.钟控RS触发器 D. 边沿D触发器 15、译码电路的输出量是____________。 A. 二进制代码 B. 十进制数 C. 某个对应的输出信号 16、七段数码显示译码电路应有____________个输出端。 A. 8个 B. 7个 C. 16个 17、通常计数器应具有___________功能。 A. 清零、置数、累计CP个数 B. 存取数据 C. 两者皆有 18、二进制译码器是指____________。 A. 将二进制代码转换成某个对应的输出信号 B. 将某个特定的输入信号转换成二进制数 C. 具有以上两种功能 19、译码电路的输入量是____________。 A. 二进制 B. 十进制 C. 某个特定的输入信号 20.某逻辑函数F = ABC ,它的反函数F应是________________。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

电路仿真实验报告

本科实验报告实验名称:电路仿真

实验1 叠加定理的验证 1.原理图编辑: 分别调出接地符、电阻R1、R2、R3、R4,直流电压源、直流电流源,电流表电压表(Group:Indicators, Family:VOLTMETER 或AMMETER)注意电流表和电压表的参考方向),并按上图连接; 2. 设置电路参数: 电阻R1=R2=R3=R4=1Ω,直流电压源V1为12V,直流电流源I1为10A。 3.实验步骤: 1)、点击运行按钮记录电压表电流表的值U1和I1; 2)、点击停止按钮记录,将直流电压源的电压值设置为0V,再次点击运行按钮记录电压表电流表的值U2和I2; 3)、点击停止按钮记录,将直流电压源的电压值设置为12V,

将直流电流源的电流值设置为0A,再次点击运行按钮记录电压表电流表的值U3和I3; 4.根据叠加电路分析原理,每一元件的电流或电压可以看成是每一个独立源单独作用于电路时,在该元件上产生的电流或电压的代数和。 所以,正常情况下应有U1=U2+U3,I1=I2+I3; 经实验仿真: 当电压源和电流源共同作用时,U1=-1.6V I1=6.8A. 当电压源短路即设为0V,电流源作用时,U2=-4V I2=2A 当电压源作用,电流源断路即设为0A时,U3=2.4V I3=4.8A

所以有U1=U2+U3=-4+2.4=-1.6V I1=I2+I3=2+4.8=6.8A 验证了原理 实验2 并联谐振电路仿真 2.原理图编辑: 分别调出接地符、电阻R1、R2,电容C1,电感L1,信号源V1,按上图连接并修改按照例如修改电路的网络标号; 3.设置电路参数: 电阻R1=10Ω,电阻R2=2KΩ,电感L1=2.5mH,电容C1=40uF。信号源V1设置为AC=5v,Voff=0,Freqence=500Hz。 4.分析参数设置: AC分析:频率范围1HZ—100MHZ,纵坐标为10倍频程,扫描

成贤数字电路实验习题答案

数字电路习题答案(第一、二次实验) 2009-12-18 09:10 实验一: 1. 在示波器处于“内触发”、“常态”扫描方式时,若改变电压灵敏度(V/div),特别是降低它,则可能会使信号波形消失。问若是“外触发”,是否也会影响信号波形的显示呢? 解:这道题主要从以下几种情况来分析: A.示波器是“内触发”,而误打到“外触发”的情况下,如果是“自动”扫描方式,示波器有波形显示,但是不会稳定;如果是“常态”扫描方式,示波器没有波形显示; B.示波器确实是“外触发”,则要求外触发信号与被测信号的频率和相位都相关,这时波形才有可能稳定。 C.示波器在“外触发”工作时,若改变电压灵敏度,会影响波形的显示。当扫描方式为“常态”时,如果降低它,可能会使波形消失,原因是降低了电压灵敏度的同时也降低了触发信号的电平,当触发电平降低到一定的程度,就不足以使触发器工作,触发器不工作,扫描发生器也就不能工作产生扫描电压,波形就消失了。 2. 实验内容3中,如何用示波器观察CH1+CH2的波形? 解:要观察CH1+CH2的波形,只要使示波器的显示方式处于“叠加”,同时保证CH1和CH2的电压灵敏度保持一致就可以了。 3. 简述用示波器测量TTL高、低电平的步骤。 解:将函数发生器输出TTL波形(f=1kHz)接到示波器一个通道上;示波器扫描方式打“AUTO”;电压灵敏度选择旋钮和时基因数选择旋钮处于适当的位置(比如1V/div和0.2ms/div);微调旋钮都处于“校准”位置;把输入耦合方式打到“GND”,确定零电平线的位置,再打到“DC”,读出高低电平值。 4. 对于方波和三角波,交流毫伏表的指示值是否它们的有效值?如何根据交流毫伏表的指示值求得方波或三角波的有效值?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电路实验Multisim仿真

实验一 逻辑门电路 一、与非门逻辑功能的测试 74LS20(双四输入与非门) 仿真结果 二、 或非门逻辑功能的测试 74LS02(四二输入或非门) 仿真结果: 三、与或非门逻辑功能的测试 74LS51(双二、三输入与或非门) 仿真结果: 四、异或门逻辑功能的测试 74LS86(四二输入异或 门)各一片 仿真结果: 二、思考题 1. 用一片74LS00实现Y = A+B 的逻辑功能 ; 2. 用一片74LS86设计 一个四位奇偶校验电路; 实验二 组合逻辑 电路 一、分析半加器的逻辑功能 二. 验证

的逻辑功能 4.思考题 (1)用两片74LS138 接成四线-十六线译码器 0000 0001 0111 1000 1111 (2)用一片74LS153接成两位四选一数据选择器; (3)用一片74LS153一片74LS00和接成一位全加器 (1)设计一个有A、B、C三位代码输入的密码锁(假设密码是011),当输入密码正确时,锁被打开(Y1=1),如果密码不符,电路发出报警信号(Y2=1)。 以上四个小设计任做一个,多做不限。 还可以用门电路搭建 实验三触发器及触发器之间的转换 1.D触发器逻辑功能的测试(上升沿) 仿真结果; 2.JK触发器功能测试(下降沿) Q=0 Q=0略

3.思考题: (1) (2) (3)略 实验四寄存器与计数器 1.右移寄存器(74ls74 为上升沿有效) 2.3位异步二进制加法,减法计数器(74LS112 下降沿有效) 也可以不加数码显示管 3.设计性试验 (1)74LS160设计7进制计数器(74LS160 是上升沿有效,且异步清零,同步置数)若采用异步清零: 若采用同步置数: (2)74LS160设计7进制计数器 略 (3)24进制 83进制 注意:用74LS160与74LS197、74LS191是完全不一样的 实验五555定时器及其应用 1.施密特触发器

数字电路测试题2答案

数字电路测试题2答案 一、 填空 1、(110110)2 = ( 54 )10 = ( 66 )8 = ( 01010100 )8421BCD 。 2、逻辑代数有 与 、 或 、 非 三种基本运算。 3、逻辑函数有 真值表 、 逻辑表达式 、 逻辑图 、 波形图 、 卡诺图 五种表示方法。 4、逻辑函数D C B B A F ??+=的反函数F =(A+B )(B +C+D),对偶函数 F '=(A +B)(B+D C +) 5、用卡若图化简函数,包围圈内相邻项的个数应为n 2。 6、C B AC C B A F ++=的最小项之和式F=A B C +A B C+ABC+A B C 7、常用集成芯片74LS00、74LS76、74LS151的名称分别是:四二输入与非门、双JK 触发器 、 八选一数据选择器。 8、如图1—1所示 : 图1—1 F 1= AB+BC 、 F 2= 1 、 F 3=A+B 。 9、如图1—2所示,电路的输出: 1)、当 C=0时, F = A+B 2)、当 C=1时, F = 高阻态 F 图1—2 10、JK 触法器是一种功能齐全的触发器,它具有 保持 、 置0 、 置1 、 翻 砖

的逻辑功能。 11、只具有 置0 和 置1 功能的触发器是D 触发器。 12、设计一个同步6进制计数器,需要 3 个触发器。 13、如图1—3所示,Q n+1=n Q 14、如图1—4所示:同步四位二进制计数器74LS161构成的是 十三 进制计数器。 15、施密特触发器 有两个稳定状态,有两个不同的触发电平,具有回差特性。多谐振荡器没有稳定状态,只有两个暂稳态,利用 电容的充电和放电 作用使电路能够产生自激振荡从而在输出端输出矩形脉冲。 J K J CP K Q CP CO LD CR Q 3 Q 2 Q 1 Q 0 0 0 0 1 CT T CT P CP & 1 1 74LS161 D 3 D 2 D 1 D 0 图1—3 图1—4 图1—5 16、如图1—5所示:由555定时器和电阻R 1、R 2及电容C 构成的电路是 多谐振荡器电路 。 17、A/D 转换是将 模拟信号转换为数字信号 的转换过程,通过 采样 、 保持 、 量化 、 编码 等四个步骤完成。 二、 将下列函数化简成最简与或式 (1)()C B BC BC A ABC A Y D C B A ++++=、、、 (用公式法化简) 解: Y=A+ABC+A BC +BC+B C =A(1+BC+BC )+C(B+B )=A+C (2)()C B A ABC C B A Y D C B A ++⊕=)(、、、 (用公式法化简) 解: Y=(A ⊕B)C+ABC+A B C = A BC+A B C+ABC+A B C =A C(B+B )+AC((B+B )=C

实验三 Matlab的数字调制系统仿真实验(参考)

成都理工大学实验报告 课程名称:数字通信原理 姓名:__________________学号:______________ 成绩:____ ___ 实验三Matlab的数字调制系统仿真实验(参考) 1 数字调制系统的相关原理 数字调制可以分为二进制调制和多进制调制,多进制调制是二进制调制的推广,主要讨论二进制的调制与解调,简单讨论一下多进制调制中的差分相位键控调制(M-DPSK)。 最常见的二进制数字调制方式有二进制振幅键控(2-ASK)、移频键控(2-FSK)和移相键控(2-PSK 和2-DPSK)。下面是这几种调制方式的相关原理。 1.1 二进制幅度键控(2-ASK) 幅度键控可以通过乘法器和开关电路来实现。载波在数字信号1 或0 的控制下通或断,在信号为1 的状态载波接通,此时传输信道上有载波出现;在信号为0 的状态下,载波被关断,此时传输信道上无载波传送。那么在接收端我们就可以根据载波的有无还原出数字信号的1 和0。 幅移键控法(ASK)的载波幅度是随着调制信号而变化的,其最简单的形式是,载波在二进制调制信号控制下通断,此时又可称作开关键控法(OOK)。多电平MASK调制方式是一种比较高效的传输方式,但由于它的抗噪声能力较差,尤其是抗衰落的能力不强,因而一般只适宜在恒参信道下采用。 2-ASK 信号功率谱密度的特点如下: (1)由连续谱和离散谱两部分构成;连续谱由传号的波形g(t)经线性调制后决定,离散谱由载波分量决定; (2)已调信号的带宽是基带脉冲波形带宽的二倍。 1.2 二进制频移键控(2-FSK) 数字频率调制又称频移键控(FSK),二进制频移键控记作2FSK。数字频移键控是用载波的频率来传送数字消息,即用所传送的数字消息控制载波的频率。2FSK

2020年智慧树知道网课《数字电子技术(广东工业大学)》课后章节测试满分答案

绪论单元测试 1 【判断题】(100分) 世界上第一块集成电路芯片诞生于1947年。() A. 对 B. 错 第一章测试 1 【判断题】(10分) 4位二进制数的最大数是1111B() A. 对 B. 错 2 【判断题】(10分) 4位八进制数的最大数是8888O() A. 对 B.

错 3 【判断题】(10分) 4位十六进制数的最大数是FFFFH() A. 错 B. 对 4 【判断题】(10分) 与4位二进制数的最大值等值的十进制数是15() A. 对 B. 错 5 【判断题】(10分) 与4位八进制数的最大值等值的十进制数是4038() A. 错

B. 对 6 【判断题】(10分) 与4位十六进制数的最大值等值的十进制数为65535() A. 对 B. 错 7 【判断题】(10分) 二进制数(1011.11)2的十进制数是11.3() A. 对 B. 错 8 【判断题】(10分) 十进制数(26.335)10转换成二进制数是=(11010.011)2()

A. 错 B. 对 9 【判断题】(10分) (000101010000)8421BCD是(150)10也是(96)16() A. 对 B. 错 10 【判断题】(10分) 用BCD码表示十进制数(36)10=(00110111)8421BCD() A. 错 B. 对

第二章测试 1 【判断题】(10分) 两个变量的异或运算和同或运算之间是反逻辑的关系。() A. 错 B. 对 2 【判断题】(10分) 代入定理中对代入逻辑式的形式和复杂程度有限制。() A. 对 B. 错 3 【判断题】(10分) 将一个约束项写人逻辑函数式或不写入逻辑函数式,对函数的输出有影响。() A. 错 B. 对

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路仿真实验报告

数字逻辑与CPU 仿真实验报告 姓名: 班级: 学号:

仿真实验 摘要:Multisim是Interactive Image Technologies公司推出的以Windows为基础的仿真工具,具有丰富的仿真分析能力。本次仿真实验便是基于Multisim软件平台对数字逻辑电路的深入研究,包括了对组合逻辑电路、时序逻辑电路中各集成元件的功能仿真与验证、对各电路的功能分析以及自行设计等等。 一、组合逻辑电路的分析与设计 1、实验目的 (1)掌握用逻辑转换器进行逻辑电路分析与设计的方法。 (2)熟悉数字逻辑功能的显示方法以及单刀双掷开关的应用。 (3)熟悉字信号发生器、逻辑分析仪的使用方法。 2、实验内容和步骤 (1)采用逻辑分析仪进行四舍五入电路的设计 ①运行Multisim,新建一个电路文件,保存为四舍五入电路设计。 ②在仪表工具栏中跳出逻辑变换器XLC1。 图1-1 逻辑变换器以及其面板 ③双击图标XLC1,其出现面板如图1-1所示 ④依次点击输入变量,并分别列出实现四舍五入功能所对应的输出状态(点击输出依 次得到0、1、x状态)。 ⑤点击右侧不同的按钮,得到输出变量与输入变量之间的函数关系式、简化的表达式、 电路图及非门实现的逻辑电路。 ⑥记录不同的转换结果。

(2)分析图1-2所示代码转换电路的逻辑功能 ①运行Multisim,新建一个电路文件,保存为代码转换电路。 ②从元器件库中选取所需元器件,放置在电路工作区。 ?从TTL工具栏选取74LS83D放置在电路图编辑窗口中。 ?从Source库取电源Vcc和数字地。 ?从Indictors库选取字符显示器。 ?从Basic库Switch按钮选取单刀双掷开关SPD1,双击开关,开关的键盘控制设 置改为A。后面同理,分别改为B、C、D。 图1-2 代码转换电路 ③将元件连接成图1-2所示的电路。 ④闭合仿真开关,分别按键盘A、B、C、D改变输入变量状态,将显示器件的结果填 入表1-1中。 ⑤说明该电路的逻辑功能。 表1-1 代码转换电路输入输出对应表

数字电路实验问答题

实验一 (2)如何用万用表测量数字集成电路的好坏? 数字集成电路损坏分为两种情况,一种是彻底不能工作;另一种是工作不稳定,可靠性非常低。 用万用表主要测量其阻抗值,可以拿一只好的相同的IC比较,测试管脚到地的阻抗值;另外就是放到具体的电路中加上适当的电压测试各个管脚的电压或电平值;数字IC的范围非常广,拿一只单片机来讲,要判断其工作问题,还要用到示波器观察数据收发期间对应管脚上高低电平的变化,对于其他数字IC,可以测试并对应真值表来比较。由于IC应用不同,并没有一个归一化的方法,只有通过不断实践来完成整个电路的调试了。 (3)如何用示波器确定输入信号是直流还是交流? 答案一:示波器有交流输入和直流输入的转化按钮,如果选中直流按钮,测得的就是直流和交流的叠加信号(如果有交流信号);选中交流按钮,只能测得交流信号(不管信号是否有直流成分)。 如果用直流档和交流档测得的信号完全相同,则说明信号只有交流成分;若果直流档有信号,交流档测不到信号则说明只有直流成分没有交流成分;交直流都测得信号灯信号形状不同,则说明信号同时存在交直流成分。 答案二:先把示波器的“AC-GND-DC”置于GND位置,把参考点选在中间位置,再把“AC-GND-DC”置于DC位置,再进行测试,如果波形是在参考点中心线的上方或下方,那就是直流;如果在参考点中心线的上方和下方都有波形显示,那就是交流。特别提示:直流不一定就是直线, (4)如何用示波器测量电流信号? 使被测电流通过一个电阻(叫取样电阻),适当选取电阻值,使被测电流信号在该电阻上的压降达数十至数百毫伏,并使毫伏数,与电流值有便于运算的比例关系,之后,用示波器测量该电阻上的压降即可。 实验三 (2)与非门中多余端如何处理?

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路实验(九个)

脉冲与数字电路实验

目录 实验一TTL数字集成电路使用、与非门参数测试实验二门电路 实验三组合逻辑电路 实验四译码器与编码器 实验五触发器 实验六计数器一 实验七计数器二 实验八多谐振荡电路 实验九综合实验 ·二十四进制计数电路 ·数字定时器 ·图形发生器 专题实习通用计时器安装于调试 附录1 常用数字集成电路外引线图 附录2 TTL集成电路分类、推荐工作条件

实验一TTL数字集成电路使用、与非门参数测试 一、实验目的 学习TTL数字集成电路使用方法,学会查阅引脚图。掌握参数测试方法 二、实验设备及器件 1.逻辑实验箱1台 2.万用表1只 3.四2输入与非门74LS00 1只 三、实验重点 54/74LS系列数字集成电路的认识及使用方法 四、数字集成电路概述 以晶体管的“导通”与“截止”表达的两种状态及高电平(H)低电平(L)并以“1” 或“0”表示二进制数。能对二进制数进行逻辑运算、转换、传输、存储的集成电路称为数字集成电路。按分类有TTL型、CMOS型。按功能分有逻辑门电路、组合集成电路、集成触发器、集成时序逻辑电路。 五、实验内容及步骤 1.74LS系列数字集成电路外引线图及使用方法(引线图以14脚集成电路为例) 1)外引线排列 双列直插式封装引脚识别。引脚对称排 列,正面朝上半圆凹槽向左,左下为第1脚, 按逆时针方向引脚序号依次递增。 2)电源供电 芯片以5V供电,电源正极连接标有Vcc 字符的引脚,负极连接标有GND字符的 引脚。电源额定值应准确。为了达到良好的 使用效果,电源范围应满足4.5V≤Vcc≤5.5V。TTL数字集成电路引脚识别 电源极性连接应正确。 3)重要使用规则 a.输出端不能直接连接电源正极或负极。 b.小规模(SSI)和中规模(MSI)芯片,在使用中发热严重应检查外围连线连接是否正确。 1A1B1Y2A2B2Y GND 4A 4B4Y 3A 3B3Y 1A 1B1Y 2A 2B2Y & A B Y & A B Y

相关主题
文本预览
相关文档 最新文档