当前位置:文档之家› SOPC课程设计

SOPC课程设计

SOPC课程设计
SOPC课程设计

SoPC课程设计(报告)题目:串口通信控制

学院:

系部:

专业:

班级:

学生姓名:

指导教师:

起止时间: 2014年6月16日——2014年6月27日

1课程设计要求

1.1 基本要求

课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境,具体要求为:1)根据课设题目,进行总体设计方案(10分);

2)硬件电路顶层设计、模块划分、引脚定义(10分);

3)电路设计及NiosII设计,提交电路设计源代码或电路图(10分);

4)综合与布局布线,提交综合与布局布线报告(10分);

5)FPGA下载代码和引脚分布(10分);

6)软件总体设计及画出流程图(10分);

7)程序设计,提交程序代码(10分);

8)程序编译下载及仿真调试(10分)。

1.2 课程设计选题要求

本次课程设计题目共12个,要求每个同学独立完成其中的一个,每个题目不超过3人。

题目要求独立完成,设计和报告如有雷同,将一个成绩平均分配雷同的同学。

1.3 时间、地点

时间为每天14:00至20:00,地点在二号实验楼集成电路设计实验室。

1.4 课程设计纪律要求

全勤且提交课程设计报告及心得体会者记20分,课程设计时间段内任意时间点名未到、迟到、玩游戏扣除:3分/次,6次及6次以上者课程设计成绩直接记:不及格。

2实验使用平台

实验平台使用Altera的DE2开发板,开发工具使用Altera的Quartus II和Nios II IDE。

3课程设计过程及设计方案

一、设计方案

1、通过UART实现串口通信;

(1) 建立UART IP 核;

(2) 在软核中用结构体实现收发数据。 2、用LCD 显示结果。

通过在IP 写LCD 驱动,然后在软核中通过读串口发的字符将这个字符显示出来。

3、设计流程图:

二、硬件开发

1、首先,我们要在NIOS II 软核中建立UART模块。打开Quartus软件,双击进入

SOPC BUILDER,然后点击下图所示所示红圈处,

点击后,如下图所示,红圈1处为波特率,我们设置为115200;红圈2处是是否允许通过软件改发波特率,我们选中,便是允许,这样我们就可以通过软件来随时更改波特率,如果软件不设置,默认值就是上面设置的115200;红框3中是设置一些与串口有关的参数,校验方式,数据位,停止位,后面的那个基本不用,设置好以后点击NEXT,Finish,完成构建。

构建好以后,将其更名为uart。

2. 向新建立的 SOPC 系统上添加On-Chip Memory

在 SOPC Builder 程序界面左侧System Contents 标签页的树型组件列表中选择Memory and Memory Controllers -> On-Chip -> On-Chip Memory (RAM or ROM),双击添加至系统中(设置存储器的容量大小为30K)

3、添加 Nios II Processor。

双击Altera SOPC Builder -> Nios II Processor,在弹出的对话框中间选择第一个Nios II/e,表示economy,最小的NIOS II 核心。下面的Reset Vector 和Exception Vector都选择onchip_men,即刚才添加的片上RAM 的名称。其它的都保留默认设置即可。点击Finish 添加CPU 核。

4、添加 IO 控制器。

双击Peripherals -> Microcontroller Peripherals -> PIO (Parallel I/O),保持默认设置即可,表示有8 个输出用IO 口,分别控制开发板上的LCD的数据存储

(LCD_DATA[7..0])

(然后依次添加 LCD_EN、LCD_RS、LCD_RW、LCD_ON、LCD_BLON各一位作为IO口)

5、然后进行自行分配地址,自行分配中断号。一切就绪,点击General,进行编译。编译好以后退出,进入Quartus界面,然后运行TCL脚本,编译,等待……编译好以后,给其分配引脚,如下图所示:

6、综合与布局布线,提交综合与布局布线报告如下:

7、选择JTAG的方式将程序下载到FPGA中。

三、软件开发

1、打开NIOS II 9.0 IDE后,按快捷键Ctrl+b编译程序,等待编译……

编译好以后,我们再来看system.h文件。可以看到UART部分的代码了,如下:

2、下面,我们开始编写软件程序,首先是修改inc.h。大概截图如下所示:

这个结构体体中包括5个共用体,这5个共用体对应UART的5个寄存器,我们来看看这5个寄存器,下图所示:

这个图中的(1)有一个说明,就是说第7,8位根据设置的数据位有所改发,我们设置数据位8位,所以7,8位不前6为性质相同。

这个结构体的内容是按上图的寄存器顺序来定义的,(因为endofpacket没用到,所以在结构体中没有定义)这样在操作过程中就可以实现相应的偏移量(offset)。在这个结构体中,我们嵌套了5个共有体,在共用体中,我们又使用了结构体和位域。其实,这样做的目的就是想对寄存器的每一位进行单独的控制,同时也可以实现这个寄存器的整体控制。

3、接着建立编写uart.h文件:

4、建立编写main.c如下:

5、将软件下载到FPGA实验板上

6、实验结果:在串口软件上实现字符串a/A~z/Z的发收,非字符返回error,同时在lcd

上显示。

3.1 内容要求

报告应该包含目录、正文、总结(心得体会)。其中正文应该涵盖1.1节基本要求。

3.2 报告排版要求

报告一级标题采用黑体四号,段前段后一行,行间距20磅。二级标题采用黑体小四号,段前段后一行,行间距20磅。正文采用宋体小四号,段前1行端后0行,行间距20磅。

3课程设计总结

首先感谢老师给我们机会更好地学习SoPc。通过本次SoPC课程设计我学到很多知识,对之前SoPc中的有些问题也解决了。与此同时,我也对QuartusII和Nios II 9.0 IDE软件也有了深刻的理解以及应用,也更好地理解了SoPc的工作原理,更深切的了解到软硬件相结合的好处与功能实现,希望在以后的SoPc学习中更进一步!!

SOPC课程设计实验报告--基于 NIOS 的 μCOS-II 实验

FPGA-CPLD原理及应用课程设计报告题目:基于NIOS的μC/OS-II实验 学院:信息与电子工程学院 专业:电子科学与技术 学号: 姓名: 指导老师: 时间:2013-7-15~2013-7-20

一、摘要 本实验项目使用Quartus II、SOPC Builder和Nios II EDS从零开始构建一个能够在DE2-115实验平台上运行的μC/OS-II操作系统的Nios II系统。初学者可以借此范例熟悉Quartus II、SOPC Builder、Nios II EDS的使用,并且了解基于FPGA的嵌入式系统开发流程。 关键词:SOPC Builder Nios II DE2Nios II EDS 二、设计要求 从零开始建立一个基于Nios II的μC/OS-II应用实验系统(也可以认为是一个Nios II+μC/OS-II的应用框架)具有以下一些作用。 (1)读者可以借助SOPC Builder工具自行对Nios II软核处理器进行配置。 (2)很多范例都是纯硬件的VHDL代码,需要自行从零开始建立Nios II 系统,不能够直接使用Altera公司已经建立好的Nios II系统。 (3)DE2-115并非Altera公司原创的开发板,而是友晶科技ODM的电路板,很多外围设备都与Altera提供的电路板不一样,所以很多Altera手册中范例都无法执行,必须要有自己从硬件到软件建立系统的能力,将来才有办法将Altera 提供的范例移植到DE2-115上执行并做到最佳化。 三、设计内容” 1、SOPC Builder硬件建立 SOPC Builder是在Quartus II里的SOPC Builder进行的,先建立工程在SOPC Builder里添加硬件,包括CPU,PLL,onchip_memory,SSRAM,SDRAM Tristate Bridge,Flash,JTAG UART,UART,Timer System ID 2、Quartus II硬件处理 硬件会自动建立一个顶层模块,通过建一个原理图来对对应的硬件进行输入输出的添加,再锁定引脚,编译工程,硬件下载。 3、Nios II DE2嵌入软件编写 在Nios II里建立工程,选择相应的模块,编写需要嵌入的软件,添加缺少的头文件对应的宏定义,编译工程,进行软件下载,在观察结果。 四、设计步骤 1、打开Quartus II新建工程

SOPC技术课程设计报告书.

赣南师院 物理与电子信息学院SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30

基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM 的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理

技术课程设计基于SOPC技术实现数字闹钟

赣南师院 物理与电子信息学院 SOPC技术课程设计报告书 专业班级:09电信本 学生姓名:胡雯莹 学号:090802054 指导教师:管立新 设计时间:2011.12.30 基于SOPC技术实现数字闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC 系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM

的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。 2.基于FPGA嵌入IP软核的SOPC系统 这种SOPC系统是指在FPGA中植入软核处理器,如:NIOS II核等。用户可以根据设计的要求,利用相应的EDA工具,对NIOS II及其外围设备进行构建,使该嵌入式系统在硬件结构、功能特点、资源占用等方面全面满足用户系统设计的要求。 二、数字闹钟的工作原理及设计过程 1、工作原理 数字闹钟组成结构 数字闹钟一般由振荡器、分频器、计数器、译码器、显示器及部分扩展电路等组成。 1.1 振荡器 振荡器是数字电子钟的核心,其作用是产生一个频率标准,即时间标准信号,然后再由分频器生成秒脉冲,所以,振荡器频率的精度和稳定度就基本决定了数字电子钟的准确度,为产生稳定的时间标准信号,一般采用石英晶体振荡器。从数字电子钟的精度考虑,振荡频率越高记数精度越高。

期末sopc课题设计之 电梯

一.设计目的 本课程的授课对象是电子信息工程专业本科生,是电子类专业的一门重要的实践课程,是理论与实践相结合的重要环节。本课程作为《SOPC技术与应用》的后续实践课程,有助于增强学生对SOPC技术的理解,掌握SOPC设计方法及调试能力,为今后从事现代电路设计与调试工作打下扎实的基础。 二.设计内容 我这次实验设计的题目是:电梯控制器设计 设计一个三层楼自动电梯控制器,电梯内有三个输入按钮响应用户的上下楼层请求,并有七段数码管显示电梯当前所在楼层位置;在每层电梯入口处设有请求按钮开关,指示用户的上或下的请求。由LED灯显示电梯的上下运动情况和关门信息。电梯工作过程共有6种状态:等待、上升、下降、开门、关门和停止状态。一般情况下,电梯工作起始点是第一层,起始状态是等待状态,启动条件是收到上升请求。电梯每上升或下降一层的时间假定为5秒,可通过7段数码管显示电梯的运行时间。 控制电路应能记忆所有楼层请求信号,并按方向优先控制规则依次响应:运行过程中先响应最早的请求,再响应后续的请求。如果无请求则停留当前层。如果有两个同时请求信号,则判断请求信号离当前层的距离,距离近的请求先响应,再响应较远的请求。每个请求信号保留至执行后清除。 输入输出界面: 输入:8个开关SW 输出:2位7段码,4个LEDG灯 8个开关SW从右到左为:SW0~SW7;功能在不同模式下定义不同: SW0:复位电梯工作状态,即楼层设置为1楼,而且状态为等待; SW1:1楼电梯入口处请求“上”的按钮; SW2,SW3:2楼电梯入口处请求“上”和“下”的按钮; SW4:3楼电梯入口处请求“下”的按钮; SW5~SW7:分部是电梯内部的输入按钮“1”,“2”和“3”。 2位7段码分别是HEX0和HEX1。 HEX0:表示当前电梯所在的楼层; HEX1:表示电梯上升的时间(假设电梯上升1层所用的时间为5秒)。

sopc课程设计

Sopc技术与应用课程设计交通灯控制系统 专业:电子科学与技术 学号: 姓名: 指导老师:

交通灯课程设计 一、实验目标: 1、掌握开发流程。 2、熟悉Quartus II、 NIOS II软件的使用。 二、实验内容:通过Quartus II 、SOPC Builder和NIOS II实现交通灯控制仿真。东西路线通行25秒,包括黄灯闪烁5秒;南北路线通行15秒,包括黄灯闪烁5秒。 三、实验原理与步骤 通过确立交通等需要的组件来添加组件。12位PIO_LED用来显示四方红黄绿信号,RAM用来存储程序,JTAG_UART用来传输组件和外加硬件之间的信号,PIOC_LOCK为时钟控制信号以实现秒计时,PIO_DATA为送予数码管显示的端口,TIMER为NIOS II中计时组件。通过这些组件与硬件的连接,再加以编程,就能实现交通灯的基本功能。 (1)在quartus ii中建立工程 (2)用sopc builder建立nios系统模块 (3)在quartus ii中的图形编辑界面中进行管脚连接锁定工作(4)编译工程后下载到FPGA (5)在NIOS II IDE中根据硬件建立软件工程 (6)编译后,经过简单设置下载到FPGA中进行调试、验证 第一步:硬件部分设计 1、在自己的文件目录下,建立一个自定义文件夹,这里为学号,注

意不能有空格或中文; 2、打开QUARTUS II,点击FILE菜单下的NEW Project Wizard; 3、然后输入工程存放目录,或点击工程路径右边的按钮设置工程存放目录,在第二栏中输入工程名称,此实验为jiaotongdeng;之后点击Finish,对话框消失,此时已经建立好了jiaotongdeng工程文件; 1.点击New Project Wizard菜单中的Next,选择芯片为cyclone系列的EP1C12Q240C8; 2.确认后点击Quartus II界面第一行工具栏中的SOPC Builder工具; 3.在系统名称填写jiaotong,选择,verilog语言后点击OK,在device family中选择cyclone; 4.添加元件:cpu选择32bit标准型,其他参数默认,改名为cpu(不能出现-); 5.添加JTAG UART Interface,保持默认选项,Finsh,改名jtag_uart; 6.添加内部RAM:选择memory→on-chip memory双击加入,改名RAM; 7.加入pio:选择other→pio设置为output ports noly点击finsh,重新命名,按此方法一次添加三个一位一个十二位pio并分别重命名为:PIO_CLOCK、PIO_LOCK、PIO_DATA、PIO_LED; 8.指定基地址和分配中断号:选择system下拉菜单中auto-assign base address或auto-assign irqs; 9.系统设置:双左击cpu弹出对话框,两个memory选项选择RAM;

PWM_SoPC设计报告

目录 一、课程设计要求 1.所选题目 2.基本要求 3.实验所用平台 4.总体方案流程 二、总体设计方案 1.顶层硬件电路图 2.总体方案 三、硬件电路部分 1.模块划分 2.IP核设计 四、FPGA下载代码和引脚分布 1.FPGA引脚分布 2.代码下载 五、软件部分 1.软件总体设计思路 2.软件流程图 六、程序代码 七、程序编译下载于仿真调试 八、课程设计心得总结

一、课程设计要求 1.所选题目 设计PWM控制器,要求输出脉冲频率可编程(100Hz-10Khz),占空比可编程(0-31)分辨率为1/32;用PWM输出分别控制DE2板上LED亮度,至少2路;PWM频率和占空比用NIOSII控制(用开关或键盘或UART)。 2.基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C 语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim ),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境。 3.实验所用平台 硬件平台: ●Xilinx Spartan-3E开发板 软件开发工具: ●ISE Design Tool(64-bit Project Navigator) ●Xilinx Platform Studio ●Xilinx Software Development Kit ●Xilinx EDK PlanAhead 4.总体方案流程

SOPC课程设计——模拟十字路口交通灯

SOPC设计与应用实验 课程设计报告 学院:机械与电子工程学院 专业:电子科学与技术 班级:10206301 学号:1020630126 姓名:陈英文 指导老师:邓文娟 2013年6月22日

一、实验简介 实验其他成员:华浩荣、蒋顺风、周利强、张瑜 组长:华浩荣 我做的模块是12个交通灯的循环点亮,红灯、绿灯亮10秒,黄灯亮5秒。实验报告重点介绍这部分内容,其它的简写。 本实验是基于ZY11203G EDA/SOPC创新实验开发系统做出来的。 1.实验平台介绍 电源模块 ? 下载接口模块 ? 数码显示模块 ? LED 显示模块 ? 液晶显示模块 ? 拨码开关模块 ? 按键模块 ? 扬声器模块

? 配置芯片 ? VGA、PS2接口模块 ? 核心功能模块 ? 串口模块 2.主要用到的模块介绍 2.1 下载接口模块 该模块为Altera 的CPLD/FPGA 器件的下载模块,该下载电路为JTAG 形式,因此还能对AS方式的配置芯片EPCSx 进行下载。 2.2 数码显示模块 数码显示模块由八个七段数码管和八个74HC595D 芯片,构成串并转换显示结构,以实现串行数据到并行数据的转换,并将该并行数据送入相应的数码管段。 八个数码管为共阴数码管(段码为高电平时,点亮数码管),数码管结构如下图所示:

2.3 LED段显示模块 12位发光二极管用于输出显示,输出高电平时发光二极管点亮。 2.4、核心功能模块介绍 主板模块的核心芯片采用Altera 公司cyclone 系列的EP1C12Q240C8;flash 存储器采用AM29LV065DU(8M×8bit);SRAM 存储器采用CY7C1041CV33(256K×16bit);AS 配置芯片为EPCS4(4M);同时给出两个钟振作为时钟源;数据地址总线采用高精度连接器与外部连接,以保证用户对Nios 二次开发的需要。实验平台右侧的双排直插针用于连接开发板,用户也可自行设计开发扩展模块。 二、实验要求及原理 1.实验要求 设计并制作一个交通灯控制电路,要求如下: 1、东西南北方向分别设置红黄绿3个指示灯,东西方向和南北方向用1个数码管显示通行时间;

sopc课程设计

SoPC课程设计(报告)题目:液晶控制显示器 学院:电子工程学院 系部:微电子学系 专业:集成电路设计与集成系统 班级: 1002 学生姓名:曹松松 指导教师:曾泽沧 起止时间: 2013年6月17日——2013年6月28日

目录 1 课程设计要求 (2) 基本要求 (2) 2 实验使用平台 (2) 3 题目:选题2 (2) 4 课程设计总结 (5) 5 附件 (5)

1课程设计要求 基本要求 课程设计要求所有题目采用Quartus II 工具提供的图形输入或者VerilogHDL语言输入方式作为电路设计工具,在NiosII上采用C语言实现编程,自定向下正向设计方法,先设计硬件系统,再进行软件编程,能够生成正确的FPGA下载代码和NiosII执行的软件代码。硬件功能仿真和时序仿真采用第三方工具(建议为:modelsim),综合与布局布线工具为:Quartus II,SOPC Builder建立软件运行环境,具体要求为:1)根据课设题目,进行总体设计方案(10分); 2)硬件电路顶层设计、模块划分、引脚定义(10分); 3)电路设计及NiosII设计,提交电路设计源代码或电路图(10分); 4)综合与布局布线,提交综合与布局布线报告(10分); 5)FPGA下载代码和引脚分布(10分); 6)软件总体设计及画出流程图(10分); 7)程序设计,提交程序代码(10分); 8)程序编译下载及仿真调试(10分)。 2实验使用平台 实验平台使用Altera的DE2开发板,开发工具使用Altera的Quartus II和Nios II IDE。 3 题目:选题2 在字符型液晶显示器上移动显示 “XIAN UNIVERSITY POST AND TELECOMMUNICATIONS YOUR NAME 2013-6”,要求FPGA 设计硬件,内嵌NiosII,液晶显示采用软件实现。 1)根据课设题目,进行总体设计方案;(10分) 此次sopc课程设计选题2,题目相对比较简单。大体分为硬件搭建跟软件编程两块。由本次设计题目比较简单,所使用模块较少,设计方案及模块划分如下:

实验七:SOPC的设计实验

本科学生综合性实验报告 学号114090523 姓名罗朝斌 学院物电学院专业、班级11光电子 实验课程名称电子设计自动化(EDA实验) 教师及职称罗永道副教授 开课学期2013 至2014 学年下学期填报时间2014 年 6 月9 日 云南师范大学教务处编印

实验序号七实验名称SOPC的设计 实验时间2014年6月9日实验室同析楼113 一.实验预习 1.实验目的: 1、学习字符型液晶显示器的控制原理 2、学习ram的使用 3、设计字符型液晶显示控制器 4、理解nios 处理器中LCD IP 核的工作原理,软硬件驱动方式,以及从flash 启动LCD 软件代码的详细操作过程,并能够灵活的运用到自己的实际开发中。 5、掌握SOPC的设计方法,熟悉和练习QuartusⅡ的应用。 2.实验原理、实验流程或装置示意图: 液晶屏幕分为点阵型和液晶型两种,本次实验用到的是字符型可以显示2行16个字。液晶模块采用14 针标准接口第1 脚:VSS为地电源第2 脚:VDD接5V 正电源第3 脚:V0 为液晶显示器对比度调整端,接正电源时对比度最弱,接地电源时对比度最高,对比度过高时会产生“鬼影”,使用时可以通过一个10K 的电位器调整对比度第4 脚:RS为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器。第5 脚:RW为读写信号线,高电平时进行读操作,低电平时进行写操作。当RS 和RW 共同为低电平时可以写入指令或者显示地址,当 RS 为低电平RW 为高电平时可以读忙信号,当RS 为高电平RW 为低电平时可以写入数据。第 6 脚:E端为使能端,当E 端由高电平跳变成低电平时,液晶模块执行命令。第7~14 脚: D0~D7 为8 位双向数据线。 1602正面图 1602背面图

课程设计基于SOPC技术实现数字闹钟

基于SOPC技术实现数字闹钟 【摘要】 在现代社会,数字闹钟方便了人们的生活和工作。数码管显示的时间简单明了而且读数快、时间准确显示到秒。该数字闹钟主要采用sopc技术,设计由系统对外部机械按键模块进行扫描获取部分指令,对外部时钟分频后进行小时24分频计时模块、分钟60分频计时模块、秒钟60分频计时模块,并进一步建立年月日计时判断模块。采用LED数码管显示时、分、秒,以24小时计时方式,蜂鸣器则作为闹钟声音提示用。该数字闹钟优点是小巧,价格低廉,走时精度高,整点报时和定时非常方便。 关键字:SOPC技术数码管显示整点提示闹钟 一、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC系统 1.基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样会增加整个系统的体积、功耗,而降低系统的可靠性。但是如果将ARM或其他知识产权核,以硬核方式植入FPGA中,利用FPGA中的可编程逻辑资源和IP软核,直接利用FPGA中的逻辑宏单元来构成该嵌入式系统处理器的接口功能模块,就能很好地解决这些问题。

基于SOPC的嵌入式数字秒表课程设计

目录 一摘要 (3) 二课题简介 (3) 三实验总体设计 (6) 四数字秒表的工作原理及设计过程 (7) 五设计过程 (19) 六结论 (24) 七参考文献 (25)

一、摘要 目前数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。随着信息技术和网络技术的高速发展,嵌入式产品日益广泛地渗透到日常生活、科学研究和军事技术等领域。人们在日常生活中,有很多时候要精确地计算时间,但往往因为人为因素造成人们不愿意看到的误差。秒表是日常生活中比较常用的精确计时工具,特别是在体育竞技以及生产科研中,跳动精确的秒表更是有着不可替代的作用。过去机械秒表的设计制作极为复杂,而且成本高、不稳定给使用者带来了不少的困扰。但是随着电子技术的飞速发展,电子秒表的出现彻底改变了这一局面,电子秒表以其成本低廉、工作稳定、走时精确、操作简单在人类的工作生活中得到了广泛的应用。秒表的出现,解决了传统的由于人为因素造成的误差和不公平性。本设课程设计是主要采用sopc技术基于FPGA的数字秒表的设计,利用Verilog HDL语言和Quartus II 软件以及FPGA实验操作平台来实现的。本论文的重点是用硬件语言Verilog HDL 来描述数字秒表,偏重于软件设计。大致内容是首先简单介绍了EDA的现状和前景, Verilog HDL 语言特点,应用平台FPGA,之后阐述了数字秒表的设计思想和大体的设计流程,最后进入本设计的核心设计部分,用Verilog HDL 语言设计数字秒表电路,着重对各个模块进行了详细的分析和说明。 【关键词】Verilog HDL 语言;Quartus II 软件;数字秒表;SOPC技术二、课题简介 SOPC技术是美国Altrea公司于2000年最早提出的,并同时推出了相应的开发软件Quartus II。SOPC是基于FPGA解决方案的SOC,与ASIC的SOC解决方案相比,SOPC系统及其开发技术具有更多的特色,构成SOPC的方案有多种途径,我们主要用到的是:基于FPGA嵌入IP硬核的SOPC系统 2.3基于FPGA嵌入IP硬核的SOPC系统 即在FPGA中预先植入嵌入式系统处理器。目前最为常用的嵌入式系统大多采用了含有ARM的32位知识产权处理器核的器件。尽管由这些器件构成的嵌入式系统有很强的功能,但为了使系统更为灵活完备,功能更为强大,对更多任务的完成具有更好的适应性,通常必须为此处理器配置许多接口器件才能构成一个完整的应用系统。如除配置常规的SRAM、DRAM、Flash外,还必须配置网络通信接口、串行通信接口、USB接口、VGA接口、PS/2接口或其他专用接口等。这样

FPGA课程设计

河南机电高等专科学校 《可编辑逻程器件原理与应用课程设计》 题目:数字跑表 班级: 学号: 姓名: 2012年6月8日

数字跑表设计 一、设计题目 设计一个以0.01s为基准计时信号的实用数字式跑表 二、设计要求 1)跑表计时显示范围0.01s—59min59.99s,计时精度为10ms。 2)具有清零、启动计时、暂停计时功能,操作按键(开关)不超过2个。 3)时钟源误差不超过0.01s。 三、总体设计思路 数字秒表设计采用模块化思想,自顶向下设计。总体上含有分频模块、计时控制器模块、计数模块、LED显示模块四个基本模块。各模块功能如下:(1)分频模块 分频器通过对256Hz时钟分频产生100Hz时钟,它同COUNT10中的十进制计数器要求的时钟频率一致。 (2)计时控制器模块 计时控制器模块的作用是将按键信号转变为计时器的控制信号。本设计中设置了2个按键,即启动/暂停键和清零键,由它们产生的计数允许保持和清零信号。 (3)计时模块 计时器通过对10ms脉冲的计数,达到计时的目的。由于数字跑表的计时范围为0到59分59.99秒,所以计时模块COUNT共需四个十进制计数器和两个六进制计时器。 (4)LED显示模块 用于数字跑表的最后显示 四、设计步骤如下: (1)分频模块 由于试验箱没有100Hz的时钟源,所以应设计分频模块分频。将输出256Hz 的时钟频率经过分频得到100Hz的时钟源,作为百分之一秒位的时钟输入, 每产生一个时钟上升沿,计数器加1即为10ms。新建Text Editor,以VHDL语言设计分频模块,程序源码如下: library ieee; use ieee.std_logic_1164.all; entity DIV is Port (clr:in std_logic; clk:in std_logic; clkout:out std_logic); end DIV; architecture a of DIV is begin process (clk,clr)

cad课程设计

2013-2014学年第二学期 电子CAD课程设计报告——基于51单片机的4通道模拟数据采集器 班级: 姓名: 学号: 成绩: 指导教师:秦玉龙

目录 第1章altium designer 9.0设计环境认识 (3) 1.1 altium designer 9.0软件的介绍 (3) 1.2设计环境设置 (3) 1.2.1 创建工程与原理图文件 (3) 1.2.2 使用库 (4) 1.2.3 创建原理图库文件 (5) 1.2.4 创建PCB库文件 (6) 1.2.5 创建PCB文件 (7) 第2章 altium designer 电路原理图的绘制 (8) 2.1 电路原理图的设计步骤 (8) 2.2 原理图库的设计步骤 (8) 2.3 封装库的设计 (8) 第3章印刷电路板的绘制 (11) 3.1 印刷电路板的设计过程 (11) 3.2 印刷电路板的布局布线方法 (12) 3.2.1 布局 (12) 3.2.2 布线 (12) 总结与个人心得 (14) 附录1:电路原理图 (15) 附录2:原件清单及封装表 (16) 附录3:印刷版电路效果图 (16) 附录4: 3D版印刷版效果图 (16)

第1章altium designer 9.0设计环境认识 1.1 altium designer 9.0软件的介绍 (1)电路设计自动化 EDA(Electronic Design Automation)指的就是将电路设计中各种工作交由计算机来协助完成。如电路原理图(Schematic)的绘制、印刷电路板(PCB)文件的制作、执行电路仿真(Simulation)等设计工作。随着电子科技的蓬勃发展,新型元器件层出不穷,电子线路变得越来越复杂,电路的设计工作已经无法单纯依靠手工来完成,电子线路计算机辅助设计已经成为必然趋势,越来越多的设计人员使用快捷、高效的CAD设计软件来进行辅助电路原理图、印制电路板图的设计,打印各种报表。 Altium Designer 是原Protel软件开发商Altium公司推出的一体化的电子产品开发系统,主要运行在Windows XP操作系统。这套软件通过把原理图设计、电路仿真、PCB绘制编辑、拓扑逻辑自动布线、信号完整性分析和设计输出等技术的完美融合,为设计者提供了全新的设计解决方案,使设计者可以轻松进行设计,熟练使用这一软件必将使电路设计的质量和效率大大提高。 Altium Designer 除了全面继承包括Protel 99SE、Protel DXP在内的先前一系列版本的功能和优点外,还增加了许多改进和很多高端功能。该平台拓宽了板级设计的传统界面,全面集成了FPGA设计功能和SOPC设计实现功能,从而允许工程设计人员能将系统设计中的FPGA与PCB设计及嵌入式设计集成在一起。由于Altium Designer 在继承先前Protel软件功能的基础上,综合了FPGA设计和嵌入式系统软件设计功能,Altium Designer 对计算机的系统需求比先前的版本要高一些。 (2)主要功能:原理图设计、印刷电路板设计、FPGA的开发、嵌入式开发等等 1.2 设计环境设置 1.2.1 创建工程与原理图文件 通过菜单创建PCB工程 File - New - Project - PCB Project,即可在当前工作区创建新的PCB 工程

SOPC EDA综合课程设计-乐曲硬件演奏

目录 第一章 EDA技术综合应用设计的主要软件及设备...... 错误!未定义书签。 1.1 主要软件、设备及作用:.................... 错误!未定义书签。 1.2实验电路结构图....................... ......错误!未定义书签。第二章乐曲硬件演奏电路设计的原理................ 错误!未定义书签。第三章乐曲硬件演奏电路VHDL 程序设计............. 错误!未定义书签。 3.1 音乐节拍和音调发生器模块PUTTAB ......... 错误!未定义书签。 3.1.1 PUTTAB模块原理图.................... 错误!未定义书签。 3.1.2 puttab模块时序仿真图................ 错误!未定义书签。 3.2音乐谱对应分频预制数查表电路模块ONTAB ..... 错误!未定义书签。 3.2.1 ONTAB模块原理图..................... 错误!未定义书签。 3.2.2 ONTAB模块时序仿真图................. 错误!未定义书签。 3.3音乐符数控11分频电路模块OUTSPEKER ........ 错误!未定义书签。 3.3.1 OUTSPEAKER模块原理图................ 错误!未定义书签。 3.3.2 OUTSPEAKER模块时序仿真图............ 错误!未定义书签。 3.4 乐曲演奏音符数据文件(梁祝、自选曲) ........ 错误!未定义书签。 3.4 引脚绑定................................. 错误!未定义书签。第四章顶层程序的时序仿真图 ...................... 错误!未定义书签。第五章课程设计心得体会........................... 错误!未定义书签。 参考文献................................................. 错误! 未定义书签。

SOPC课程设计(大型作业)任务书

上海电力学院 课程设计(大型作业)任务书 (2009 /2010 学年第二学期) 课程名称SOPC课程设计 课程代码 1307132 院(系)计信学院 专业电子信息工程 班级 学生 时间19/20周

一、设计目的 本课程的授课对象是电子信息工程专业本科生,是电子类专业的一门重要的实践课程,是理论与实践相结合的重要环节。本课程作为《SOPC技术与应用》的后续实践课程,有助于增强学生对SOPC技术的理解,掌握SOPC设计方法及调试能力,为今后从事现代电路设计与调试工作打下扎实的基础。 二、设计内容、要求及组织形式 本课程要求学生在掌握FPGA技术和SOPC技术的基础上,以QuartusII、SOPC Builder和Nios II IDE等软件为工具,分别完成SOPC的硬件模块设计和软件模块设计。设计题目:LCD日历电子钟、多功能数字钟、交通信号灯和抢答器。采用一人一题的方式,课堂形式则采用讲授与上机相结合。 三、设计进度安排(时间及地点) 地点:计信学院实验室304 时间:19-20周 第1天分析各设计的工作原理、设计目的 第2天硬件模块设计 第3天软件流程图设计,整理设计思路 第4天对软件模块进行划分 第5-8天完成模块的代码编写、调试、运行 第9天报告撰写 第10天答辩 下面给出四个任务的具体要求。 任务一:LCD日历电子钟 1.实验任务及要求 (1)日历电子钟的显示:用NiosII DE2开发板实现电子钟功能。用LCD显示电子钟的日期和时间。LCD分两行显示,第一行显示年、月和日(如:

20090201);第二行显示时、分和秒(如16:20:30)。 (2)日历电子钟的修改:用输入按钮KEY[0]来控制LCD行修改,同时让DE2板上的绿色发光二级管LEDG3的亮与灭来表示这个选择。当KEY[0]按下一次后,LEDG3亮,可以修改年、月和日的数字;再按一次KEY[0]后,LEDG3灭,可以修改时、分和秒的数字。另外用输入按钮KEY[3]来控制日期和时间的修改,当处于日期修改方式时,每按动一次KEY[3]按钮,依次更换“年”、“月”和“日”的修改。当处于时间修改方式时,每按动一次KEY[3]按钮,依次更换“时”、“分”和“秒”的修改。修改对象被选中后,按动KEY[2]输入按钮可以增加显示的数字;按动KEY[1]输入按钮可以减少显示的数字。 2.实验原理 SOPC的硬件模块包括: 处理器:Nios II 存储器:SDRAM PIO:LEDG、KEY 显示:LCD 定时器:Timer(20ms) 调试口:JTAG UART 软件模块的设计进程可分为以下几个步骤: (1)电子钟功能的实现。可通过定时器定时1s“秒”加1,依次对“分”、“时” 加1,实现简单的电子钟功能。 (2)日历的实现。在上述电子钟的基础上,每24个小时“日”加1,依次对“月”和“年”加1,实现日历的功能。 (3)LCD显示。将上述时间与日期显示在LCD上。 (4)时间和日期的调整。在程序中需要加入按键中断程序,通过判断按键值按要求实现相应的功能。 3.实验报告要求 (1)画出(或打印出)硬件电路图。

SOPC课程设计实验报告

SOPC课程设计实验报告基于SOPC的警示灯设计 2013电子信息工程3班 李婕20134557 罗丹妮20134563

一、设计目的 1、熟悉掌握SOPC的基本流程 2、设计一个警示灯并满足基本要求 3、通过设计发现问题并解决 二、设计设备 1、硬件:PC机、SOPC-NIOS II EDA/SOPC系统开发平台 2、软件:QUARTUS II、SOPC Builder、NIOS II ID E 三、设计内容 ?初始状态为红灯(LED2)熄灭,绿灯(LED1)点亮,数码管显示为0。 ?当按键按下,红灯(LED2)闪烁,绿灯(LED1)熄灭,同时蜂鸣器响起,数码管开始倒计时9S,此状态持续时间为9s。 ?9s后,恢复初始状态。 四、设计步骤 1、使用Quartus II建立一个工程文件和顶层文件; 2、使用SOPC Builder建立一个简单Nios II硬件系统 1)启动SOPC Builder 2)指定目标FPGA 3)添加NiosII内核及其他外设 A、添加NiosII、SRAM、JTAG-UART、Avalon总线的I P 核

B、添加一个2位的输入型PIO作为按键key C、添加两个1位的输出型PIO作为 led1及led2的输出端口 D、添加一个1位的输出型PIO作为蜂鸣器的输入端口A E、添加一个3位的输出型PIO作为数码管的位选sel F、添加一个8位的输出型PIO作为数码管的段选dat

4)指定基地址和中断优先级 5)设置NiosII复位和异常地址 6) 编译生成NiosII系统 SOPC Builder行程图如下:

sopc课程设计(模板)

齐齐哈尔大学SOPC课程设计(论文) 题目: 院(系):通信与电子工程学院 专业班级:电子09 学号: 学生姓名: 指导教师:董亮 起止时间:2011.12.19-2011.12.30

课程设计(论文)报告的内容及其文本格式 1、课程设计(论文)报告要求用A4纸排版,单面打印,左侧装订成册 2、页边距:上2.5cm,下2.5cm,左3cm,右2.5cm,页眉1.5cm,页脚1.75cm,左侧装订; 3、字体:一级标题,小二号字、黑体、居中;二级,黑体小三、居左;三级标题,黑体四号;正文文字,小四号字、宋体; 4、行距:20磅行距; 5、页码:底部居中,五号、黑体; 6、对图题和图中文字要求:图题是5号黑体,在图的下方居中图中文字是5号宋体,参照图2.1 7、对表题和表中文字要求:表题是5号黑体,在表的上方居中表中文字是5号宋体,参照表2.1

摘要也称内容提要,概括研究题目的主要内容、特点,文字要精练。中文摘 要一般不少于 300字,外文摘要的内容应与中文摘要相对应。关键词1;关键词2;关键词3;关键词4 注意:关键词不少于3个

目录 第1章绪论 (1) 1.1 XXX概况 (1) 1.2本文研究内容 (1) 1.3目的与意义 (1) 第2章系统设计方案 (2) 2.1XXX总体设计方案 (2) 2.2系统的参数 (2) 第3章 XXX的基本原理 (3) 3.1 XXX (3) 3.2XXX (3) 3.2.1 A (3) 3.2.2 B (3) 3.3XXX (3) 第4章 XXX软件设计 (4) 4.1软件实现功能综述 (4) 4.2模块1的设计 (4) 4.2.1 模块1的接口电路 (4) 4.2.2 模块1的程序设计 (4) 4.3模块2的设计 (4) 4.4模块3的设计 (4) 第5章 XXX系统的仿真分析 (5) 5.1系统原理图 (5) 5.2模块1的仿真分析 (5) 5.3模块2的仿真分析 (5) 5.4模块3的仿真分析 (5) 5.5软硬件调试 (5) 第6章课程设计总结 (6)

sopc课程设计11

齐齐哈尔大学 SOPC课程设计(论文) 题目:电子密码锁设计 院(系):通信与电子工程学院 专业班级:电子09 学号: 2009131048 学生姓名:李芷懿 指导教师:董亮 起止时间:2011.12.19-2011.12.30

课程设计(论文)报告的内容及其文本格式 1、课程设计(论文)报告要求用A4纸排版,单面打印,左侧装订成册 2、页边距:上2.5cm,下2.5cm,左3cm,右2.5cm,页眉1.5cm,页脚1.75cm,左侧装订; 3、字体:一级标题,小二号字、黑体、居中;二级,黑体小三、居左;三级标题,黑体四号;正文文字,小四号字、宋体; 4、行距:20磅行距; 5、页码:底部居中,五号、黑体; 6、对图题和图中文字要求:图题是5号黑体,在图的下方居中图中文字是5号宋体,参照图2.1 7、对表题和表中文字要求:表题是5号黑体,在表的上方居中表中文字是5号宋体,参照表2.1

电子密码锁是一种通过密码输入来控制电路或是芯片工作,从而控制机械开关的闭合,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。现在应用较广的电子密码锁是以芯片为核心,通过编程来实现的 其性能和安全性已大大超过了机械锁,特点如下: 1. 保密性好,编码量多,远远大于弹子锁。随机开锁成功率几乎为零。 2.密码可变。 用户可以经常更改密码,防止密码被盗,同时也可以避免因人员的更替 而使锁的密级下降。 3.电子密码锁操作简单易行,一学即会。 4.干扰码功能 在输入正确密码前可输入任意码。 本设计只要分为三个模块,第一个模块式电子密码锁主程序设计,第二个模块是密码确认及开锁过程,第三个模块是密码设计,及修改密码。但是本设计内容中默认了一个初始密码为0007,每当密码记不清后使用者可以输入0007来是密码锁变为打开状态。 摘要也称内容提要,概括研究题目的主要内容、特点,文字要精练。中文摘要一般不少于300字,外文摘要的内容应与中文摘要相对应。 关键词1;关键词2;关键词3;关键词4 注意:关键词不少于3个

SOPC的LED按键控制实验报告

课程设计报告设计课题:SOPC按键控制LED灯亮灭

按键控制LED灯泡亮灭 一、设计任务与要求 1.实现按键控制小灯泡的亮灭; 2.学习并掌握Quartus II 9.0软件 3.学会SOPC和FPGA电路设计方法 二、方案设计与论证 在Quartus II 9.0软件里利用Nios II完成对软核CPU的设计,设计完成后再搭建外围电路,后在Nios II IDE里完成代码的编写,最后将设计的电路和编写的代码下片后观察实验现象并调试直至成功。 方案一: 1.新建一个New Quartus II Project,并命名为led_lab 2.选择Cyclone III系列的EP3C25F324C8芯片 3.CPU内核的设计: 3.1新建一个SOPC Builder System,并命名为lab_led 3.2将所要设计的CPU模块添加进来,并选择Nios II/e核心 3.3再添加jtag和on-chip memory,并将内存设置为20KB 3.4添加两个PIO(并行输入输出),将其中一个设置为Output ports only, 并命名为led_pio,用作输出模块,另一个设置为Input ports only,并 命名为key_pio,用作输入模块 3.5保存好设计编译后无误 4.外围电路的设计 4.1新建一个Block Diagram/Schematic File文件,并命名为led_lab 4.2将上一步设计好的CPU模块添加进来 4.3自动生成好管脚后进行编译 4.4对输入输出管脚进行分配,完成后再次进行编译 5.Nios II代码控制CPU

5.1点击Nios II IDE,新建一个lab_led.c文件 5.2编写能够实现按键控制LED灯的代码,后编译、调试 6.打开SOPC实验箱,将设计好的电路和编写好的代码下片,观察实验现象 并调试 四、总原理图及子模块结构图 1.CPU内核的设计 2.外围电路的搭建

相关主题
文本预览
相关文档 最新文档