当前位置:文档之家› EDA原理图输入设计方法

EDA原理图输入设计方法

EDA原理图输入设计方法
EDA原理图输入设计方法

实验一 原理图输入设计实验

一、实验目的

1、 初步了解MAX +plus Ⅱ软件。

2、 学习和掌握原理图输入方式,了解设计这一种迅速入门的便捷工具。

3、 学习和掌握EDA 的波形分析工具及分析方法。

二、实验要求

1、 设计半加器的原理图。

2、 用仿真的方法,进行半加器的波形分析。

3、 生成半加器的底层器件。

4、 组成一位全加器。

5、 在EDA 实验箱上下载实验程序并验证一位全加器。

三、实验设备

1、 装有MAX +plus Ⅱ计算机 一台

2、 EDA ——Ⅳ实验箱 一台

四、实验原理

1、 用门电路连接成1位半加器,完成原理图的设计,输入输出信号须用端口连接。其真值表

见表1.1

2、 用波形分析的方法验证半加器的逻辑关系。

3、 用半加器、与或门等逻辑电路组成1位全加器,其真值表见1.2

4、 下载软件进入实验箱验证

五、实验步骤

1、半加器原理图输入

1. 1 先建立自己目标的文件夹,D: \ EX \ Z04** \ you*\ex* 。

1.2双击MAX+LUSE II 图标,进入MAX +PLUS Ⅱ管理器。

原理图输入的操作步骤如下:

(1) 建立我们的第一个项目,单击管理器中的FILE 菜单(单击鼠标左键,以后如有特

殊说明含义不变),将鼠标移到Project 选项后,单击Name 选项,指定项目如图

1.1所示。 表1.2 全加器真值表

表1.1 半加器真值表

图1.1 指定项目名的屏幕

在Project Name的输入编辑框中,键入设计半加器项目名称“hadder”,屏幕如图1.1所示:(注意项目所存放的目录):

(2)再在管理器中单击File \ New选项,设定图形文件。选择Graphic Editor file,单击OK按钮后,便进入到MAX+PLUSE II 的图形编辑器。

(3)归属项目文件File \ Project \ Set Project to Current File;

(4)保存半加器的文件名;屏幕如图1.2所示;

图1.2 欲保存文件前的屏幕

(5)如图1.3所示,选择图形编辑器的Symbol Name 输入编辑框中键入AND2后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图1.4)

单击鼠标左键,使其固定;

图1.3 选择元件符号的屏幕

(6)重复(2)、(3)步骤,在图中安放input、output等元件符号,如图1.4所示;

图1.4放置所有元件符号的屏幕

(7)在图1.4中,用移动光标的方法将元器件之间进行连线;

图1.5 完成全部连接线的屏幕

图中器件:AND2 、XNOR、EXP、INPUT、OUTPUT

(8)重复(5)的方法将完成所有的电路连线,如图1.5所示;

(9)在图1.5的界面下,对半加器的原理图进行编译;对文件进行编译,单击编译器快捷方式按钮;

(10)单击Start按钮后,计算机开始处理数据,其进度情况用水平线表示;

(11)如果有“0 errors”和“0 warnings”字符出现,则表示编译完全通过,单击确定OK 按钮后;

(12)在图形编辑器界面上,建立底层器件(加封),在File \ Great Default Symbol 封装半加器;

(13)一般警告性错误可以忽略;

(14)编译结束后,即可退出编译窗口,即在编译对话框中选择关闭按钮即可;

2、波形编辑

波形编辑的操作步骤如下:

(1)建立波形文件,在MAX+PLUS II的管理器内,单击File \ New选项下,选择Waveform Editor file,并单击其右边的小黑箭头,在下拉选项中“*.scf”,单击OK

按钮后,便进入到MAX+PLUS II的波形编辑器;

(2)归属其项目下File \ Project \ Set Project to Current File;;

(3)在波形编辑器中,选择Node \ Enter Node From SNF,将出现信号分析导入选

择对话框,如图1.6所示;

图1.6 信号分析导入选择分析输入信号引脚

(4)在图1.6内的Node Enter Node From SNF的文本编辑框中,双击List按键,全选择后,单击OK按钮后,进入输入信号待编辑状态;

(5)在信号编辑器内,编辑输入信号,原则是输入信号的组合,应该覆盖所有的逻辑关系,如图1.7所示。(其方法为首先选中一个要赋值的信号区间,按住鼠标左键,在区间范围内拉出一个黑色区域,松开鼠标左键,再点左边工具栏上的“1”,使这个时间段赋值为高电平时间;注意时间不要选择太小,比如就选10ns,这样结果可能不对,因为电路的延迟可能就达到10ns);

图1.7 编辑输入信号的屏幕

另外在“options”项目下,可进行下面设置:

①Snap to Grid:鼠标按网格选取,用鼠标左键可以决定是否选取;

②Show Grid显示网格;

③Grid Size:设置网格大小;

④End Time:设置仿真结束时间0.5us的仿真时间;

(6)保存波形文件,单击File \ Save As菜单,后缀为*.scf;

(7)对波形文件进行编译,单击编译器快捷方式按钮;

(8)编译结束,退出编译窗口,即在编译对话框中点击关闭按钮即可;

(9)在MAX+plusⅡ\ Simulator 进行仿真;

(10)在波形上可分析输入与输出实验结果,验证逻辑关系,如图1.8所示;

图1.8 波形分析输出

(11)对照表1.1,验证逻辑关系;

(12)(选作)观察自动分配引脚,单击按钮,屏幕如图1.9所示;

图1.17 观察自动分配引脚的屏幕

(13)(选作)双击Fit查看引脚分配情况,如图1.10所示;

图1.10 查看引脚分配的屏幕

(14)(选作)在MAX+plusⅡ\ Floorplan Editor 观察资源分配情况,如图1.11所示;

图1.11 查看芯片资源分配的屏幕

3、位全加器实验

(1)在MAX+plusⅡ管理器的File \ New内,新建一个全加器图形文件;

(2)归属项目文件File \ Project \ Set Project to Current File;

(3)保存文件,全加器原理图文件名不可与半加器器件原理图的文件名相同;

(4)半加器的基础之上,组成1位全加器,如图1.12所示;

(5)对全加器原理图进行第一次编译;

图1.12 1位全加器组成

图中器件:OR2、INPUT、OUTPUT

(6)选择器件型号,在图1.8中单击Assign \ Device菜单,屏幕如图1.10所示;

图1.13 选择待编程芯片的屏幕

(7)全加器进行第二次编译;

(8)必须做手动接口的引脚分配,Assign \ Pin / Location / Chip;如图1.14;(可以参照实验箱的引脚分配图。(附录三));(原理图端口与芯片组引脚需一一对应)(9)全加器进行第三次编译;

图1.14 引脚分配图

(10)程序下载实验箱,

(11)在图1.8中(此处认为实验板已安装妥当,有关安装方法见实验板详细说明)单击按钮,屏幕显示如图1.15所示。

图1.15 程序下载

(12)在图1.15中点击“Program”或者选择“Configure”即可进行下载;

(13)如有问题,点击(1 Programming File),选择相应的下载文件;

(14)在实验箱上验证实验结果;

(15)操作开关SW1、SW2、SW3为A、B、C输入信号,观测发光二极管D101、D102为Cout与Sout输出状态并验证全加器真值表;

硬件资源分配

EPF10K10LC84-3

引脚设备装置器件原理图端口

36 SW3 Cin

35 SW2 Bin

30 SW1 Ain

17 D101 Cout

16 D102 Sout

六、思考题

1、如果在下载前未选择器件,会出现什么问题?为什么?

2、如果器件的引脚分配发生冲突了,会出现什么问题?如何解决?

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

PCB原理图设计方法

原理图设计规范 本文档的目的在于说明使用PROTEL和ORCAD进行原理图设计时的一些注意事项,为设计人员提供设计规范,方便设计人员之间进行交流和相互检查。 第一部分:PROTEL设计规范 一、原理图元件封装使用标准库命名,按照《元件库引用说明》执行;电路设计 中有用到新的封装的请填写《新建封装申请》后建立新封装,并根据标准库的命名标准将其封装名填入相应的原理图元件封装里面。 二、PROTEL原理图的电气法则的测试ERC:要求没有错误能正确导出网表,1.执行菜单命令【Tool】/【ERC…】; 2.执行上面的命令后在出现以下的电气法则测试对话框,并设置: ⑴.在【ERC Options】下选取以下几项: ●【Multiple net name on net】检测同一网络命名多个网络名称; ●【Unconnectde net labes】检测未实际连接的网络标号 ●【Unconnected power objects】检测为实际连接的电源图件; ●【Duplicate sheet numbers】检测电路图编号的重号; ●【Duplicate component designator】检测元件的重号; ●【Bus label format errors】检测总先标号格式错误; ●【Bus label format errors】检测输入引脚的浮接; ⑵.在【Options】下选取以下几项: ●【Create report file】在测试后,会自动在将测试结果存在报告文件中(*.erc), 文件名和与原理图名一致; ●【Add error markers】在测试后,会自动在错误位置上放置错误符号; ⑶.【Sheet to Netlist】测试原理图的范围设置为【Active project】; ⑷.【Net Identifier Scope】选择网络识别器的范围设置为【Sheet Symbol/Port Connection】;

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

用原理图输入方法设计8位全加器

实验一 用原理图输入方法设计8位全加器 1.实验目的和要求 本实验为综合性实验,综合了简单组合电路逻辑,MAX+plus 10.2的原理图输入方法, 层次化设计的方法等内容。其目的是通过一个8位全加器的设计熟悉EDA 软件进行电子线路设计的详细流程。学会对实验板上的FPGA/CPLD 进行编程下载,硬件验证自己的设计项目。 2.实验原理 1位全加器可以用两个半加器及一个或门连接而成,半加器原理图的设计方法很多,我们用一个与门、一个非门和同或门(xnor 为同或符合,相同为1,不同为0)来实现。先设计底层文件:半加器,再设计顶层文件全加器。 (1) 半加器的设计: 半加器表达式:进位:co=a and b 和:so=a xnor ( not b ) 半加器原理图如下: (2) 全加器的设计: 全加器原理图如下: 3.主要仪器设备(实验用的软硬件环境) 实验的硬件环境是: 微机 I113co a so b 1 0101 0110001 10 0co so b a not xnor2 and2 I113ain cout cout ain bin sum cin bin sum cin f_adder or2a f e d u3 u2 u1 b a c co so B co so B h_adder A h_adder A

EDA实验开发系统 ZY11EDA13BE 并口延长线,JTAG延长线 实验的软件环境是: MAX+plus 10.2 4.操作方法与实验步骤 ●按照4.1 节介绍的方法与流程,完成半加器和全加器的设计,包括原理图输入、编译、综合、适配、仿真、实验板上的硬件测试,并将此全加器电路设置成一个硬件符号入库。 ●建立一个更高的原理图设计层次,利用以上获得的1位全加器构成8位全加器,并完成编译、综合、适配、仿真、硬件测试。 5.实验内容及实验数据记录 1.设计半加器: 用原理图输入的方法输入一个半加器的逻辑图,如图所示: 然后在assign里头的device里头根据试验箱的芯片设置Decices,接着就设置输入输出荧脚的输入端和输出端,设置如表1所示: 表1.半加器引脚端口设置 引脚名称设置端口 ain input Pin=45 bin input Pin=46 co output Pin=19 so output Pin=24 然后Save,名称为h_add.gdf,再save & Compile。 结果如图所示:

PCB板设计步骤

1.5 PCB 板的设计步骤 (1 )方案分析 决定电路原理图如何设计,同时也影响到 PCB 板如何规划。根据设计要求进行方案比较、选择,元 器件的选择等,开发项目中最重要的环节。 (2 )电路仿真 在设计电路原理图之前,有时会会对某一部分电路设计并不十分确定,因此需要通过电路方针来验 证。还可以用于确定电路中某些重要器件参数。 (3 )设计原理图元件 PROTEL DXP 提供了丰富的原理图元件库,但不可能包括所有元件,必要时需动手设计原理图元件,建立 自己的元件库。 (4)绘制原理图 找到所有需要的原理元件后,开始原理图绘制。根据电路复杂程度决定是否需要使用层次原理图。完成原 理图后,用ERC (电气法则检查)工具查错。找到岀错原因并修改原理图电路,重新查错到没有原则性错误为 止。 5 )设计元件圭寸装 和原理图元件一样, PROTEL DXF 也不可能提供所有元件的封装。需要时自行设计并建立新的元件封装库。 6)设计PCB 板 确认原理图没有错误之后,开始 PCB 板的绘制。首先绘岀 PCB 板的轮廓,确定工艺要求(如使用几层板 等)。然后将原理图传输到 PCB 板中,在网络表、设计规则和原理图的引导下布局和布线。利用设计规则查 错。是电路设计的另一个关键环节,它将决定该产品的实用性能,需要考虑的因素很多,不同的电路有不同 要求 (7 )文档整理 对原理图、PCB 图及器件清单等文件予以保存,以便以后维护和修改 DXP 的元器件库有原理图元件库、 PCB 元件库和集成元件库,扩展名分别为 DXP 仍然可以打开并使用 Protel 以往版本的元件库文件。 在创建一个新的原理图文件后 ,DXP 默认为该文件装载两个集成元器件库: Miscellaneous Connectors.IntLib 。因为这两个集成元器件库中包含有最常用的元器件。 注意: Protel DXP 中,默认的工作组的文件名后缀为 .PrjGrp ,默认的项目文件名后缀为 .PrjPCB 。如 果新建的是 FPGA 设计项目建立的项目文件称后缀为 .PrjFpg 。 也可以将某个文件夹下的所有元件库一次性都添加进来, 方法是:采用类似于 Windows 的操作,先选中该文 件夹下的第一个元件库文件后,按住 Shift 键再选中元件库里的最后一个文件,这样就能选中该文件夹下的所 有文件,最后点打开按钮,即可完成添加元件库操作。 3.1原理图的设计方法和步骤 下面就以下图 所示的简单 555定时器电路图为例,介绍电路原理图的设计方法和步骤。 3.1.1创建一个新项目 电路设计主要包括原理图设计和 PCB 设计。首先创建一个新项目,然后在项目中添加原理图文件和 PCB 文件,创建一个新项目方法: ?单击设计管理窗口底部的 File 按钮,弹岀一个面板。 ? New 子面板中单击 Blank Project ( PCB )选项,将弹岀 Projects 工作面板。 ?建立了一个新的项目后,执行菜单命令 File/Save Project As ,将新项目重命名为 "myProject1 . PrjPCB ”保存该项目到合适位置 3.1.2创建一张新的原理图图纸 ?执行菜单命令 New / Schematic 创建一张新的原理图文件。 ?可以看到 Sheetl.SchDoc 的原理图文件,同时原理图文件夹自动添加到项目中。 ?执行菜单命令 File/Save As ,将新原理 SchLib 、PcbLib 、IntLib 。但 Miscellaneous Devices 」ntLib 禾

EDA课程设计报告资料

课程设计 设计题目: 学生姓名: 学号: 专业班级: 指导教师: 2015年月日

设计 题目成绩 课 程 设 计 主 要 内 容 指 导 教 师 评 语 签名:20 年月日

设计题目:测量放大器电路原理图和PCB板设计 一、实验目的 1.了解学习Protel 99SE的目的与意义; 2.掌握Protel 99SE绘制电路原理图方法与技巧; 3.掌握PCB设计方法与技巧。 二、实验要求 1.利用Protel 99SE绘制一张电路图; 2.对绘制好的电路图进行ERC检查; 3.生成网络表; 4.生成元件列表; 5.利用Protel 99SE完成对应的双面印刷电路板设计。 三、功率放大器设计 实验原理图如下图所示: 图1

四、protel制图 4.1设计电路原理图 1.电路原理图 电路原理图的设计是整个电路设计的基础,因此电路原理图要设计好,以免影响后面的设计工作。电路原理图的设计一般有如下步骤: (1)设置原理图设计环境; (2)放置元件; (3)原理图布线; (4)编辑和调整; (5)检查原理图; (6)生成网络表。 2.设计印刷电路板 印刷电路板设计是从电路原理图变成一个具体产品的必经之路,因此,印刷电路板设计是电路设计中最重要、最关键的一步。通常,印刷电路板设计的具体步骤如下: (1)规划电路板; (2)设置参数; (3)装入网络表; (4)元器件布局; (5)自动布线; (6)手工调整。 4.2 绘制测量放大器电路原理图 原理图设计最基本的要求是正确性,其次是布局合理,最后是在正确性和布局合理的前提下力求美观。根据以上所述的电路原理图设计步骤,两级放大器电路原理图设计过程如下: 1.启动原理图设计服务器 进入Protel 99 SE,创建一个数据库,执行菜单File/New命令,从框中选择原理图服务器(Schematic Document)图标,双击该图标,建立原理图设计文档。双击文档图标,进入原理图设计服务器界面。如图2

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

EDA原理图输入设计方法

实验一 原理图输入设计实验 一、实验目的 1、 初步了解MAX +plus Ⅱ软件。 2、 学习和掌握原理图输入方式,了解设计这一种迅速入门的便捷工具。 3、 学习和掌握EDA 的波形分析工具及分析方法。 二、实验要求 1、 设计半加器的原理图。 2、 用仿真的方法,进行半加器的波形分析。 3、 生成半加器的底层器件。 4、 组成一位全加器。 5、 在EDA 实验箱上下载实验程序并验证一位全加器。 三、实验设备 1、 装有MAX +plus Ⅱ计算机 一台 2、 EDA ——Ⅳ实验箱 一台 四、实验原理 1、 用门电路连接成1位半加器,完成原理图的设计,输入输出信号须用端口连接。其真值表 见表1.1 2、 用波形分析的方法验证半加器的逻辑关系。 3、 用半加器、与或门等逻辑电路组成1位全加器,其真值表见1.2 4、 下载软件进入实验箱验证 五、实验步骤 1、半加器原理图输入 1. 1 先建立自己目标的文件夹,D: \ EX \ Z04** \ you*\ex* 。 1.2双击MAX+LUSE II 图标,进入MAX +PLUS Ⅱ管理器。 原理图输入的操作步骤如下: (1) 建立我们的第一个项目,单击管理器中的FILE 菜单(单击鼠标左键,以后如有特 殊说明含义不变),将鼠标移到Project 选项后,单击Name 选项,指定项目如图 1.1所示。 表1.2 全加器真值表 表1.1 半加器真值表

图1.1 指定项目名的屏幕 在Project Name的输入编辑框中,键入设计半加器项目名称“hadder”,屏幕如图1.1所示:(注意项目所存放的目录): (2)再在管理器中单击File \ New选项,设定图形文件。选择Graphic Editor file,单击OK按钮后,便进入到MAX+PLUSE II 的图形编辑器。 (3)归属项目文件File \ Project \ Set Project to Current File; (4)保存半加器的文件名;屏幕如图1.2所示; 图1.2 欲保存文件前的屏幕 (5)如图1.3所示,选择图形编辑器的Symbol Name 输入编辑框中键入AND2后,单击ok按钮。此时可看到光标上粘着被选的符号,将其移到合适的位置(参考图1.4) 单击鼠标左键,使其固定; 图1.3 选择元件符号的屏幕 (6)重复(2)、(3)步骤,在图中安放input、output等元件符号,如图1.4所示;

PCB原理图绘制步骤

原理图的绘制 A、新建工作空间和原理图 项目是每项电子产品设计的基础,在一个项目文件中包括设计中生成的一切文件,比如原理图文件、PCB图文件、以及原理库文件和PCB库文件。在项目文件中可以执行对文件的各种操作,如新建、打开、关闭、复制与删除等。但是需要注意的是,项目文件只是起到管理的作用,在保存文件时项目中的各个文件是以单个文件的形式存在的。所以每完成一个库就保存一次。 新建工作区间 1、在菜单栏中选择File-New-Project-PCB Project. 2、形成一个PCB-Project1.PriPCB面板然后重命名最后分别添加scematic sheet形成Sheet.SchDoc文件保存后面一次添加形成PCB.PcbDoc、Pcblib.Pcblib、schlib.schlib文件分别进行保存。 3、在schlib.schlib文件里面添加你需要的库文件进行保存这时候要区分引脚与网口标号,特别是引脚一定要放置正确按照所发的书上进行标号,创建一个库就保存一次直到你需要的几个模块的器件你都画好了。 4、然后找到库文件将你画好的东西放置到Sheet.SchDoc原理图上面这时候再来放置网口标号用线将该连接的地方连接起来画好了看看自己的和书上的区别检查是否有错误的地方,最后将文件进行保存。点击Libraries面板,点左上角Libraries按钮,

如果你想在所有工程里都用就在Imstalled里点Install添加,如果只想在当前工程里使用就在Projiect里面点Add Library。 5、画封装图。 根据我们焊电路板的板子来测量距离将需要的器件进行封装,封装的过程中那一页会出现一个十字号将焊盘放置在十字号上确保第一个焊盘的x、y值都为零然后按照自己测量的数据一次拍好焊盘在一个在Top Layer这一层上放置,防止完成后切换到Top Overlay上面进行划线封装。对于LED灯要表明它的正极同样的道理没画好一个库进行一次保存直到最终完成了。最终形成了一个PCB Project文件库。 6、所有元器件编号的方法 你可以双击元件来改变,Visual属性为True。还可以让所有元件自动编号。 7、形成PCB图 在原理图里面双击你要添加的那一个模块添加PCB封装图浏览一下然后查看引脚映射是否一一对应如果对应就是没有出现错误最后点设计然后点击形成PCB图就可以了这个过程中也有一个地方查错的只要对了就会有一个对勾。这也是我自己一个一个添加的原因防止哪里出现了错误难以发现、最终画好了是出现的虚实线连接。 8、布线绘制图 这里面可以选择自动布线也可以进行手动添加布线,布线的时候

EDA课程设计报告

湖北职业技术学院《EDA技术》课程设计报告 题目动态输出4位十进制频率计的设计所在学院电子信息工程学院 专业班级电信08304 学生姓名马强 学号08024839 指导教师王芳 完成日期2010年11月18 日

目录 一、概述 (3) 二、设计正文 (4) (一)设计目的 (4) (二)设计实现 (4) 1、端口说明 (4) 2、Cnt10模块说明 (5) 3、Tctl模块说明 (6) 4、锁存器reg16模块说明 (8) 5、Scan_led模块说明 (9) 6、顶层文件仿真 (10) 7、硬件下载 (11) 三、总结 (13) 四、感言 (14) 五、参考文献 (15)

概述 此次设计的主要目的是学习掌握频率计的设计方法;掌握动态扫描输出电路的实现方法;学习较复杂的数字系统设计方法。通过单位时间(1秒)内频率的计数来实现频率计的设计。此设计主要用四位十进制计数器,所以频率计数范围为100~9999Hz。然后锁存防止闪烁显示,最后由译码扫描显示电路输出到数码管进行显示输出。并且下载后会有一秒钟的延时后才会显示输出所计频率输出。设计下载后能够进行仿真频率的计数和静态显示,但是分频的设计程序有所缺陷导致长时间显示后会有1Hz的抖动。通过这次的设计能够更清楚的理解VHDL程序的描述语言,进行简单程序的编写和仿真。

动态输出4位十进制频率计的设计 一、目的 1.学习掌握频率计的设计方法。 2.掌握动态扫描输出电路的实现方法。 3.学习较复杂的数字系统设计方法 二、设计实现 4位十进制频率计外部接口如图1所示,顶层文件如图2所示,包含4中模块;Tctl、reg16、scan_led和4个cnt10。 (1)端口说明 F1Hz:给Tctl模块提供1Hz的频率输入。 Fin:被测频率输入。 scan_led:给scan_led模块提供扫描输入频率输入。 bt[1..0]:片选信号输出。 sg[6..0]:译码信号输出。 cout:进位输出。

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

第3章电路原理图设计基础.

第3章电路原理图设计基础 在本章中,您将了解到利用Protel 99 SE 进行印刷电路板的设计要经过怎样的步骤;绘制一张完整、正确、漂亮的电路原理图需要经过怎样的步骤;怎样设置图纸的尺寸和原理图编辑器的工作环境等内容。 3.1 电路原理图的设计步骤 根据电路原理图自动转换成印刷电路板图是Protel 99 SE的重要功能之一,因此首先介绍印刷电路板设计的一般步骤。 3.1.1 印刷电路板设计的一般步骤 利用Protel 99 SE 进行印刷电路板的设计,整个过程需要三个步骤。 电路原理图设计(Sch:利用Protel 99 SE的原理图设计系统,绘制完整的、正确的电路原理图。 产生网络表:网络表是表示电路原理图或印刷电路板中元件连接关系的文本文件。是连接电路原理图与印刷电路板图的桥梁。 印刷电路板设计(PCB:根据电路原理图,利用Protel 99 SE提供的强大的PCB设计功能,进行印刷电路板的设计。 3.1.2 电路原理图设计的一般步骤 电路原理图设计是整个电路设计的基础,它决定了后面工作的进展。电路原理图的设计过程一般可以按图3.1所示的设计流程进行。

图3.1 电路原理图设计流程 其中,开始:即启动Protel 99 SE原理图编辑器。 设置图纸大小:包括设置图纸尺寸,网格和光标的设置等。 加载元件库:在Protel 99 SE中,原理图中的元器件符号均存放在不同的原理图元件库中,在绘制电路原理图之前,必须将所需的原理图元件库装入原理图编辑器。 放置元器件:即将所需的元件符号从元件库中调入到原理图中。 调整元器件布局位置:调整各元器件的位置。 进行布线及调整:将各元器件用具有电气性能的导线连接起来,并进一步调整元器件的位置、元器件标注的位置及连线等。 最后存盘打印。 3.2 图纸设置

EDA课程设计报告8线-3线优先编码器

Xxxxx学院 《EDA技术》课程报告 设计题目:8线-3线优先编码器班级:应用电子1101班姓名: 学号: 指导老师: 日期:

目录 一、8-3优先编码器设计原理分析 (3) 二、8-3优先编码器模块的源程序 (3) 三、8-3优先编码器仿真结果 (4) 四、设计总结和心得体会 (5) 五、参考资料 (5)

一、8-3优先编码器设计原理分析 8-3优先编码器输入信号为din0,d in1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。因为din0到din7共8中状态,可以用3位二进制编码来表示。8-3优先编码器真值表如下表所示。 表1 8-3优先编码器真值表 二、8-3优先编码器模块的源程序 8-3优先编码器由VHDL程序来实现,VHDL语言描述如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY coder IS PORT ( din : IN STD_LOGIC_VECTOR(0 TO 7);output : OUT STD_LOGIC_VECTOR(0 TO 2);EANABLE: in std_logic ); END coder; ARCHITECTURE behav OF coder IS SIGNAL SINT : STD_LOGIC_VECTOR(4 DOWNTO 0); BEGIN PROCESS (din) BEGIN IF (EANABLE='0') THEN IF (din(0)='1') THEN output <= "000" ; ELSIF (din(1)='1') THEN output <= "100" ;

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

PCB电路板原理图的设计步骤

PCB电路板原理图的设计步骤 PCB从单层发展到双面、多层和挠性,并且仍旧保持着各自的发展趋势。由于不断地向高精度、高密度和高可靠性方向发展,不断缩小体积、减少成本、提高性能,使得印刷板在未来设备的发展工程中,仍然保持着强大的生命力。那 么PCB是如何设计的呢?看完以下七大步骤就懂啦! 1、前期准备 包括准备元件库和原理图。在进行PCB设计之前,首先要准备好原理图SCH 元件库和PCB元件封装库。PCB元件封装库最好是工程师根据所选器件的标准尺寸资料建立。原则上先建立PC的元件封装库,再建立原理图SCH元件库PCB元件封装库要求较高,它直接影响PCB的安装;原理图SCH元件库要求相对宽松,但要注意定义好管脚属性和与PCB元件封装库的对应关系。 2、PCB结构设计 根据已经确定的电路板尺寸和各项机械定位,在PCB设计环境下绘制PCB

板框,并按定位要求放置所需的接插件、按键/开关、螺丝孔、装配孔等等。充分考虑和确定布线区域和非布线区域(如螺丝孔周围多大范围属于非布线区域)。 3、PCB布局设计 布局设计即是在PCB板框内按照设计要求摆放器件。在原理图工具中生成网络表(Design→Create Netlist),之后在PCB软件中导入网络表(Design →Import Netlist)。网络表导入成功后会存在于软件后台,通过Placement操作可以将所有器件调出、各管脚之间有飞线提示连接,这时就可以对器件进行布局设计了。 PCB布局设计是PCB整个设计流程中的重要工序,越复杂的PCB板,布局的好坏越能直接影响到后期布线的实现难易程度。布局设计依靠电路板设计师的电路基础功底与设计经验丰富程度,对电路板设计师属于较高的要求。初级电路板设计师经验尚浅、适合小模块布局设计或整板难度较低的PCB布局设计任务。 4、PCB布线设计

EDA课程设计实验报告

课程设计报告 课程名称数字系统与逻辑设计 课题名称16*16点阵显示 专业通信工程 班级1181 学号 2 姓名肖浪

指导教师乔汇东吴德建 2013年7月2日 湖南工程学院课程设计任务书 课程名称数字系统与逻辑设计课题16*16点阵显示 专业班级通信工程1181 学生姓名肖浪 学号 2 指导老师乔汇东吴德建

任务书下达日期2013 年6月23日 任务完成日期2013 年7月2日 《数字系统与逻辑设计》课程设计任务书 一、设计目的 全面熟悉、掌握VHDL语言基本知识,掌握利用VHDL语言对常用的的组合逻辑电路和时序逻辑电路编程,把编程和实际结合起来,熟悉编制和调试程序的技巧,掌握分析结果的若干有效方法,进一步提高上机动手能力,培养使用设计综合电路的能力,养成提供文档资料的习惯和规范编程的思想。 二、设计要求 1、设计正确,方案合理。 2、程序精炼,结构清晰。 3、设计报告5000字以上,含程序设计说明,用户使用说明,源程序清单及程序框图。 4、上机演示。 5、有详细的文档。文档中包括设计思路、设计仿真程序、仿真结果及相应的分析与结论。 三、进度安排 第十八周星期一:课题讲解,查阅资料 星期二:总体设计,详细设计 星期三:编程,上机调试、修改程序 星期四:上机调试、完善程序 星期五:答辩 星期六-星期天:撰写课程设计报告 附:

课程设计报告装订顺序:封面、任务书、目录、正文、评分、附件(A4大小的图纸及程序清单)。 正文的格式:一级标题用3号黑体,二级标题用四号宋体加粗,正文用小四号宋体;行距为22。正文的内容:一、课题的主要功能;二、课题的功能模块的划分(要求画出模块图);三、主要功能的实现;四、系统调试与仿真;五、总结与体会;六、附件(所有程序的原代码,要求对程序写出必要的注释);七、评分表。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

EDA课程设计报告-北京科技大学分析

EDA课程设计报告 题目彩灯循环闪烁电路的仿真学院自动化 专业班级自 姓名 学号 成绩 2014年12月

1、设计目的 (3) 2、设计原理 (3) (3) 3、单元电路的设计与仿真 (3) 3.1时钟脉冲产生电路 (3) 3.2计数器电路的设计与仿真 (5) 3.3译码和显示电路的设计 (6) 4、总体电路仿真 (7) 5、总结与收获 (9)

1、设计目的 1)彩灯能够自动循环闪烁 2)彩灯循环显示且频率快慢可调。 3)该控制电路具有8路输出。 2、设计原理 彩灯循环闪烁电路的工作原理采用555定时器连接成多谐振荡器产生频率产生连续可调的时钟脉冲信号,然后将时钟信号输出通过计数器接受。然后,经过八进制加法计数器的计数实现循环功能。最后,通过译码器译码实现循环灯亮。 该电路主要分为三个模块,多谢振荡器模块、八进制加法计数器电路模块、译码器与彩灯电路模块。其结构框图如图1所示。 图1 彩灯循环闪烁电路的设计框图 3、单元电路的设计与仿真 3.1时钟脉冲产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器。管脚THR与管脚TRI直接相连。图2为,产生电路模块。电路没有稳态,仅存在两个暂稳态,电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电,以及C1通过R2、R3向放电端DIS放电,使电路产生震荡。输出矩形波,为计数器提供脉冲源。其管脚2的电容充放电波形(黄色线条)和管脚3时钟脉冲输出波形(红色线条)如图3所示。

图2 时钟脉冲产生电路的仿真图 图3 管脚2、3的输出波形

3.2计数器电路的设计与仿真 本文的彩灯电路选用74LS160N-集成10进制同步加法计数器。74LS160N具有异步清零和同步置数的功能。为了实现8盏灯循环闪烁,电路采用异步反馈清零法获得8进制计数器。如图4所示,当Q D Q C Q B Q A输出1000时,U4A输出一个低电平到CLR,将计数器清零,回到0000状态。 图4 8进制计数器仿真图 确认电路连接无误后,单击RUN,开始仿真。结果如图4,通过7段数码管看到有0-7共8个有效状态。图5即计数过程。

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

广东工业大学eda课程设计报告

课程设计报告 课程名称 EDA课程设计 学院信息工程学院年级班别 学号 学生姓名 指导老师罗思杰 2017年12月09日

目录 一、设计目的和要求: (3) 二、EDA设计: (3) 三、硬件测试: (15) 四、设计和调试过程中遇到的问题及解决方法.. 15 五、完成课程设计后的收获或体会: (15) 六、设计参考文献: (15)

一、设计目的和要求: 1、设计目的: 通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD (可编程逻辑器件)的设计过程、设计要求、设计内容、设计方法,能根据要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。 培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程经验。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。 2、设计要求: (1)以EDA技术的基本理论为指导,将设计实验分为基本功能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试; (2)熟悉掌握常用仿真开发软件,比如: Quartus II或Xilinx ISE的使用方法。 (3)能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试; (4)学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。 二、EDA设计: (1)方案比较: 1、数字电子钟设计 设计一个时钟电路,包括时钟、分钟、秒钟的显示。要求可对时钟、分钟进行预置和修改操作;可设置3组闹铃时间,时间到时给出10秒的报警声或音乐并给出灯光提示。 具体输入/输出要求如下: ① 4位LED数码显示器,分别显示“小时:分钟”或“分钟:秒”时钟;根据需要选择几个LED发光二极管。 ②3个按键,具体功能描述如下:

相关主题
文本预览
相关文档 最新文档