当前位置:文档之家› 北航数图实验报告四图像腐蚀和膨胀

北航数图实验报告四图像腐蚀和膨胀

北航数图实验报告四图像腐蚀和膨胀
北航数图实验报告四图像腐蚀和膨胀

北航数图实验报告四图像腐蚀和膨

部门: xxx

时间: xxx

整理范文,仅供参考,可下载自行编辑

北京航空航天大学

数字图像处理实验报告

实验四:图像分割处理

学院

专业方向

班级

学号

学生姓名

指导教师

实验四图像分割处理实验

1.实验目的

<1)了解图像分割的基本原理,并利用图像分割算法进行图像分割处理;

<2)掌握数学形态学的基本运算。

2.实验内容

<1)利用类间方差阈值算法实现图像的分割处理;

<2)利用形态学处理进行处理结果修正。

3. 实验要求

<1)实验用图:

<2)对输入图像进行平滑处理,以减小噪声对分割处理的影响;

<3)利用类间方差阈值算法对滤波处理后图像进行分割处理,获取分割图像;

<4)利用数学形态学中的腐蚀和膨胀运算处理,剔除分割处理结果中的一些细小的残余误分割点,在进行腐蚀和膨胀运算时可采用半径为r的圆形结构元素,注意比较选取不同r值时的处理结果。b5E2RGbCAP

四、实验代码

function STshiyan4_OpeningFcn(hObject, eventdata, handles, varargin>p1EanqFDPw

I=imread('4.bmp'>。

I=rgb2gray(I>。

subplot(3,3,1>。

imshow(I>。

title('原图像'>。

IM=medfilt2(I>。%中值滤波

subplot(3,3,2>。

imshow(IM>。

title('中值滤波后图像'>。

function pushbutton1_Callback(hObject, eventdata, handles>DXDiTa9E3d

I1=imread('4.bmp'>。

I1=rgb2gray(I1>。

T=Otsu(I1>。

IM1=medfilt2(I1>。

s=size(IM1>。

for m=1:s(1>

for n=1:s(2>

if IM1(m,n>>=T

IM1(m,n>=255。

else

IM1(m,n>=0。

end

end

end

subplot(3,3,3>。

imshow(IM1>。

title('最大类间方差法'>。

function pushbutton2_Callback(hObject, eventdata, handles>RTCrpUDGiT

I = imread('4.bmp'>。

%--------------r=1腐蚀-------------------------- se1 = strel('disk',1,8>。

I1 = imerode(I,se1>。

subplot(3,3,4>。

imshow(I1>。

title('r=1的腐蚀运算'>。

%--------------r=2腐蚀--------------------------se2 = strel('disk',2,8>。

I2 = imerode(I,se2>。

subplot(3,3,5>。

imshow(I2>。

title('r=2的腐蚀运算'>。

%--------------r=3腐蚀--------------------------se3 = strel('disk',3,8>。

I3 = imerode(I,se3>。

subplot(3,3,6>。

imshow(I3>。

title('r=3的腐蚀运算'>。

function pushbutton3_Callback(hObject, eventdata, handles>5PCzVD7HxA

I = imread('4.bmp'>。

%--------------r=1膨胀-------------------------- se1 = strel('disk',1,8>。

I1 = imdilate(I,se1>。

subplot(3,3,7>。

imshow(I1>。

title('r=1的膨胀运算'>。

%--------------r=2膨胀--------------------------se2 = strel('disk',2,8>。

I2 = imdilate(I,se2>。

subplot(3,3,8>。

imshow(I2>。

title('r=2的膨胀运算'>。

%--------------r=3膨胀--------------------------se3 = strel('disk',3,8>。

I3 = imdilate(I,se3>。

subplot(3,3,9>。

imshow(I3>。

title('r=3的膨胀运算'>。

五、实验结果

程序运行后,进入到GUI页面,选择相应的按钮就可以显示相应的变换图像结果,如下:

申明:

所有资料为本人收集整理,仅限个人学习使用,勿做商业用途。

北航电子电路设计数字部分实验报告

电子电路设计数字部分实验报告 学院: 姓名:

实验一简单组合逻辑设计 实验内容 描述一个可综合的数据比较器,比较数据a 、b的大小,若相同,则给出结果1,否则给出结果0。 实验仿真结果 实验代码 主程序 module compare(equal,a,b); input[7:0] a,b; output equal; assign equal=(a>b)1:0; endmodule 测试程序

module t; reg[7:0] a,b; reg clock,k; wire equal; initial begin a=0; b=0; clock=0; k=0; end always #50 clock = ~clock; always @ (posedge clock) begin a[0]={$random}%2; a[1]={$random}%2; a[2]={$random}%2; a[3]={$random}%2; a[4]={$random}%2; a[5]={$random}%2; a[6]={$random}%2; a[7]={$random}%2; b[0]={$random}%2; b[1]={$random}%2; b[2]={$random}%2; b[3]={$random}%2; b[4]={$random}%2;

b[5]={$random}%2; b[6]={$random}%2; b[7]={$random}%2; end initial begin #100000 $stop;end compare m(.equal(equal),.a(a),.b(b)); endmodule 实验二简单分频时序逻辑电路的设计 实验内容 用always块和@(posedge clk)或@(negedge clk)的结构表述一个1/2分频器的可综合模型,观察时序仿真结果。 实验仿真结果

北航物理实验绪论考试真题(4套题含问题详解)

物理实验绪论测试题1 一、单项选择题 1.某测量结果0.01010cm有( b )位有效数字。 A.3位 B.4位 C.5位 D.6位 2.已知常数e=2.718281828……,测量L=0.0023,N=2.73,则(e-L)/N=( c ) A.0.994 B.0.9949 C.0.995 D.1.00 3.物理量A=x+y x?y ,那末其相对不确定度为( a ) A. 2 x2?y2 √x2u2(y)+y2u2(x) B.2 x2?y2 √x2u2(y)?y2u2(x) C.√u 2(x)+u2(y) (x+y)2 +u2(x)+u2(y) (x?y)2 D.√u 2(x)+u2(y) (x+y)2 ?u2(x)?u2(y) (x?y)2 4.用作图法处理数据时,为保证精度,至少应使坐标纸的最小分格和测量值的( c )相对 应。 A.第一位有效数字 B.第二位有效数字 C.最后一位有效数字 D.最后一位准确数字 二、填空题: 5.用计算器算出圆柱体的转动惯量J=645.0126g?cm2,平均值的不确定度为u(J)= 则J+u(J)=( ± )×102g?cm2 6.多量程电压表(1级,3- 7.5-15-30V)用于检测某电路两端的电压,如果用3V档去测3V 电压,其相对不确定度为。如果用7.5V档去测3V电压,其相对不确定度为。 三、多项选择题: 7.满足正态分布的物理量,下面的叙述哪些是正确的?abc A 做任何次测量,其结果有68.3%的可能性落在区间[A?δ,A+δ] B 设某次测量的结果为X i,则X i±δ(x)表示真值落在[X i?δ(x),X i+δ(x)]的概率为0.683 C X i±δ(x)与x±δ(x)的置信概率是相同的 D x±δ(x)的置信概率比X i±δ(x)的置信概率高 8.指出下列关于仪器误差的叙述哪些是错误的(按物理实验课的简化要求)bcd A.千分尺的仪器误差等于最小分度的一半 B.游标卡尺的仪器误差等于游标精度的一半 C.磁电式仪表的仪器误差=等级%×测量值 D.箱式电桥? 仪 =等级%(测量值+基准值) 四、计算题

北航基础物理实验研究性实验报告_分光仪的调整及应用

北京航空航天大学物理研究性实验报告 分光仪的调整及其应用 第一作者:所在院系:就读专业:第二作者:所在院系:就读专业:

目录 目录 一.报告简介 (1) 二.实验原理 (1) 实验一.分光仪的调整 (1) 实验二.三棱镜顶角的测量 (3) 实验三.最小偏向角法测棱镜折射率 (1) 二.实验仪器 (1) 三.实验主要步骤 (2) 实验1.分光仪的调整 (2) 1.调整方法 (2) 2.要求 (4) 实验2.三棱镜顶角的测量 (4) 1.调整要求 (4) 2.实验操作 (5) 实验3.棱镜折射率的测定(最小偏向角法) (6) 四.实验数据记录 (6) 五.数据处理 (7) 实验2.反射法测三棱镜顶角 (7) 实验3.最小偏向角法测棱镜折射率 (7) 六.误差分析 (8) 七.分析总结 (8) 八.实验改进 (9) 九.实验感想 (10) 十.参考文献及图片附件: (11)

一.报告简介 本报告以分光仪的调整、三棱镜顶角和其折射率的测量为主要内容,先介绍了实验的基本原理与过程,而后进行了数据处理与不确定度计算。并以实验数据对误差的来源进行了分析。同时还给出了调节分光仪的经验总结与方法,并对现有实验仪器和试验方法提出了改进的意见。 二.实验原理 实验一.分光仪的调整 分光仪的结构因型号不同各有差别,但基本原理是相同的,一般都由底座、刻度读数盘、自准直望远镜、平行光管、载物平台5部分组成。 1-狭缝套筒;2-狭缝套筒紧固螺钉;3-平行光管;4-制动架;5-载物台;6-载物台调平螺钉;7-载物台锁紧螺钉;8-望远镜;9-望远镜锁紧螺钉;10-阿贝式自准直目镜;11-目镜;12-仰角螺钉;13-望远镜光轴水平螺钉;14-支臂;15-望远镜转角微调螺钉;16-读数刻度盘止动螺钉;17-制动架;18-望远镜止动螺钉;19底座;20-转座;21-

北航电子电路设计训练模拟分实验报告

北航电子电路设计训练模拟部分实验报告

————————————————————————————————作者:————————————————————————————————日期:

电子电路设计训练模拟部分实验 实验报告

实验一:共射放大器分析与设计 1.目的: (1)进一步了解Multisim的各项功能,熟练掌握其使用方法,为后续课程打好基础。 (2)通过使用Multisim来仿真电路,测试如图1所示的单管共射放大电路的静态工作点、电压放大倍数、输入电阻和输出电阻,并观察 静态工作点的变化对输出波形的影响。 (3)加深对放大电路工作原理的理解和参数变化对输出波形的影响。 (4)观察失真现象,了解其产生的原因。 图 1 实验一电路图 2.步骤: (1)请对该电路进行直流工作点分析,进而判断管子的工作状态。 (2)请利用软件提供的各种测量仪表测出该电路的输入电阻。 (3)请利用软件提供的各种测量仪表测出该电路的输出电阻。 (4)请利用软件提供的各种测量仪表测出该电路的幅频、相频特性曲线。 (5)请利用交流分析功能给出该电路的幅频、相频特性曲线。 (6)请分别在30Hz、1KHz、100KHz、4MHz和100MHz这5个频点利用示波器测出输入和输出的关系,并仔细观察放大倍数和相位差。 (提示:在上述实验步骤中,建议使用普通的2N2222A三极管,并请注 意信号源幅度和频率的选取,否则将得不到正确的结果。) 3.实验结果及分析: (1)根据直流工作点分析的结果,说明该电路的工作状态。 由simulate->analyses->DC operating point,可测得该电路的静态工作点为:

北航基础物理研究性报告讲解

北航基础物理研究性报告讲解

北航基础物理实验研究性报告1051 电位差计及其应用 140221班 2015-12-13 第一作者:邓旭锋14021014 第二作者:吴聪14021011

目录 1.引言 (4) 2.实验原理 (5) 2.1补偿原理 (5) 2.2 UJ25型电位差计 (8) 3.实验仪器 (10) 4.实验步骤 (10) 4.1自组电位差计 (10) 4.2 UJ25型箱式电位差计 (11) 5.实验数据处理 (12) 5.1 实际测量Ex的大小 (13) 5.2 不确定度的计算 (13) 5.3 测量结果最终表述 (14) 5.4 实验误差分析 (14) 6.实验改进与意见 (14) 6.1 实验器材的改进 (8) 6.2 实验方法改进 (10) 6.3 实验内容的改进 (10)

7.实验感想与体会 (21) 【参考文献】 (24) 摘要:将电位差计实验中的补偿法原理应用于电学物理量的测量中,该方法可以用来精确测量电流、电阻、电压等电学量,也可以利用电位差计,获得比较精确的二极管伏安特性曲线可以避免了因电表的内阻而引起的测量误差。利用实验室现有仪器设计了一些切实可行的新实验。 关键字:电位差计;补偿法;UJ23型电位差计;电阻;系统误差。 1.引言 电位差计是电压补偿原理应用的典型范例,它是利用电压补偿原理使电位差计变成一内阻无穷大的电压表,用于精密测量电势差或者电压。同理,利用电流补偿原理也可以制作一内阻为零的电流表,用于电流的精密测量。 电位差计的测量精确度高,且避免了测量的接入误差,但它的操作比较复杂,也不易实现测量的自动化。在数字仪表迅速发展的今天,电压

北航物理实验研究性报告

第0页 本人声明 我声明,本论文为本人独立完成的,在完成论文时所利用的一切资料均已在参考文献中列出。 3903·2415 高等工程学院 李柏

第1页 晶体的电光效应的深入剖析 第一作者:李柏(自主独立完成) 摘要 本文基于作者在认真做过实验并对内容的深刻理解,旨在对该实验从原理到操作流程以及实验数据处理进行更加深入的剖析。 在正文的第一部分,本文从一名大二本科生的角度对实验原理进行了系统地重新表述,查阅资料并补充了部分《大学物理·光学》的必要知识(例如1/4玻片、单轴晶体的定义)力求让下一届的学生们能彻底理解原理部分,部分素材也可适当补充进新版的《物理实验》课本中。 在第二部分,本文细致地描述了实验操作的各个流程,从等高共轴的调节方法开始,给出了有理有据的调节方法,可以作为今后教师指导学生的基本判据。 在第三部分,本文重新安排了数据处理,采用了更加翔实的原始数据,但必须指出本文的缺陷:依然未能定量地得出产生误差的原因。 在第四部分,包含作者对试验中一些现象的理论层面的深入剖析,以及实验感想、建议等等。 最后的最后,是完成本文参阅资料的声明。 关键词:晶体电光效应电光调制大学物理实验论文测量半波电压

第2页 第一章:实验原理的重新表述 1.1电光效应与一次电光效应 晶体在外电场作用下折射率会产生变化,这种现象称为电光效应。这种效应由于n 随电场变化而变化时间极短,甚至能跟得上1010Hz的电场变化频率,故可制成响应迅速的各种光电设备(例如斩波器、激光测距仪)。仅仅在同一教室内的光纤陀螺寻北的陀螺仪中就有电光效应制成的元件,可见电光效应的广泛应用。 电场引起折射率变化可表示为n - n0 = aE0 + bE02+…… 由一次项aE0 引起的变化称为一次电光效应,也称泡耳克斯效应。一次效应又区分纵横方向,以加载电场的取向决定。本实验研究铌酸锂晶体的一次纵向电光效应。 光在晶体中传播时,在不平行于光轴方向上,由于e光和o光传播速度不同,而出现两个不同折射率的光的像,这种现象叫做双折射现象(图1-1)。只有一个光轴的晶体就叫单轴晶体,铌酸锂原本是单轴晶体,但晶体外加电场后,将变成双轴晶体,导致与双折射类似的结果,出射光可能为椭圆偏振光。 图1-1 双折射原理示意图 1.2电光调制 在无线电通信中,为了传递信息,总是通过表征电磁波特性的正弦波性质受传递信号控制来实现,这种控制过程被称作调制。接收时,逆过程则称为解调。本实验采用强

北航飞行器设计与应用力学系.doc

航空科学与工程学院 2016年研究生入学考试复试大纲 一、复试方式:笔试+面试 二、复试组织: 1、笔试:由航空学院统一组织,考试科目及复试大纲另见《航空科学与工程学院2013年考研复试安排》。 2、口试:以学科专业组为单位,由3-5位硕士生导师组成面试小组(组长为教授),每位考生的面试时间为20分钟。 三、复试流程和评分标准: 1)检查并核实考生面试所必备的个人证件和材料;考生可以提供有助于证明自己背景和能力的相关材料,证件和材料完备是面试的必要条件。 2)考生用英语口述个人基本情况、兴趣等,面试小组老师就考生基本情况提问,考生用英文回答问题。 3)考生朗读一段考场指定的专业外语短文,并口头翻译成中文。 4)面试小组老师就基础理论知识提问,学生用中文回答问题。 5)面试小组老师就专业知识提问,学生用中文回答问题。 面试结束后考生退场,在3-5个工作日后见航空学院网站“招生就业”栏目的“研究生招生”,会通知出学院的拟录取名单,在7层的研究生教学橱窗也会公布。 四、考场纪律 考生准时到达指定的复试考场,遵守考场秩序,尊重考试教师。 五、各学科专业组具体复试内容及参考书: 1、飞行力学与飞行安全系2016年硕士研究生入学复试程序 方式: 由3~6位硕士生导师组成面试小组,每位考生的面试时间为20分钟。 范围: 面试范围包括英语口语能力、专业英语阅读理解能力、专业基础理论知识和专业知识。具体环节如下: 1)对考生学习背景、心理、爱好和志愿等基本情况的了解。 2)考察考生的英语阅读和口头表达能力。

3)基础理论和专业知识面试。基础理论包括自动控制原理、理论力学和材料力学。专业知识包括飞行力学、飞行安全、飞行器总体设计、空气动力学等。 参考书: 基础理论可以选用任何一本考生熟悉的《自动控制原理》、《理论力学》、《材料力学》教材。专业课可以参考《飞机飞行动力学》(熊海泉编)或《飞机飞行性能》、《飞机的稳定与控制》等方面的参考书。 面试流程和评分标准: 1)检查并核实考生面试所必备的个人证件和材料;证件和材料完备是面试的必要条件。2)考生用英语口述个人基本情况、兴趣等,面试小组老师就考生基本情况提问,考生回答问题。 3)读一段指定的专业外语,并口头翻译成中文。 4)面试小组老师就基础理论知识提问,学生回答问题。 5)面试小组老师就专业知识提问,学生回答问题。 6)问答结束后,考生退场,面试老师根据考核要求和面试情况,对考生进行评分。 7)所有考生面试结束后,面试老师根据总体情况,对所有考生进行综合评估和比较,给出面试成绩。 2、人机与环境工程/制冷及低温工程2016年硕士研究生入学复试程序 方式: 由3~5位硕士生导师组成面试小组,每位考生的面试时间为20分钟。 范围: 1)英语阅读和口头表达能力。 2)对考生心理、基本情况的了解。 3)基础理论和专业知识面试。基础理论包括:自动控制原理,理论力学,流体力学;专业知识包括工程热力学,传热学,人机工程,低温制冷。考生可以选择其中1门基础理论和1门专业课作为面试内容,或者是综合知识。 参考书: 可以选用任何一本考生熟悉的《自动控制原理》、《理论力学》、《流体力学》教材。专业课可以选用考生熟悉的《工程热力学》,《传热学》,《人机工程》,低温制冷等方面的参考书。 面试流程和评分标准: 1)检查并核实考生面试所必备的个人证件和材料;证件和材料完备是面试的必要条件. 2)考生用英语口述个人基本情况、兴趣等,面试小组老师就考生基本情况提问,考生回答问题。 3)读一段指定的专业外语,并口头翻译成中文。 4)面试小组老师就基础理论知识提问,学生回答问题。 5)面试小组老师就专业知识提问,学生回答问题。 6) 问答结束后,考生退场,面试老师根据考核要求和面试情况,对考生进行评分。

北航自动控制原理实验报告(完整版)

自动控制原理实验报告 一、实验名称:一、二阶系统的电子模拟及时域响应的动态测试 二、实验目的 1、了解一、二阶系统阶跃响应及其性能指标与系统参数之间的关系 2、学习在电子模拟机上建立典型环节系统模型的方法 3、学习阶跃响应的测试方法 三、实验内容 1、建立一阶系统的电子模型,观测并记录在不同时间常数T时的响应曲线,测定过渡过程时间T s 2、建立二阶系统电子模型,观测并记录不同阻尼比的响应曲线,并测定超调量及过渡过程时间T s 四、实验原理及实验数据 一阶系统 系统传递函数: 由电路图可得,取则K=1,T分别取:0.25, 0.5, 1 T 0.25 0.50 1.00 R2 0.25MΩ0.5M Ω1MΩ C 1μ1μ1μ T S 实测0.7930 1.5160 3.1050 T S 理论0.7473 1.4962 2.9927 阶跃响应曲线图1.1 图1.2 图1.3 误差计算与分析 (1)当T=0.25时,误差==6.12%; (2)当T=0.5时,误差==1.32%; (3)当T=1时,误差==3.58% 误差分析:由于T决定响应参数,而,在实验中R、C的取值上可能存在一定误差,另外,导线的连接上也存在一些误差以及干扰,使实验结果与理论值之间存在一定误差。但是本实验误差在较小范围内,响应曲线也反映了预期要求,所以本实验基本得到了预期结果。 实验结果说明 由本实验结果可看出,一阶系统阶跃响应是单调上升的指数曲线,特征有T确定,T越小,过度过程进行得越快,系统的快速性越好。 二阶系统 图1.1 图1.2 图1.3

系统传递函数: 令 二阶系统模拟线路 0.25 0.50 1.00 R4 210.5 C2 111 实测45.8% 16.9% 0.6% 理论44.5% 16.3% 0% T S实测13.9860 5.4895 4.8480 T S理论14.0065 5.3066 4.8243 阶跃响应曲线图2.1 图2.2 图2.3 注:T s理论根据matlab命令[os,ts,tr]=stepspecs(time,output,output(end),5)得出,否则误差较大。 误差计算及分析 1)当ξ=0.25时,超调量的相对误差= 调节时间的相对误差= 2)当ξ=0.5时,超调量的相对误差==3.7% 调节时间的相对误差==3.4% 4)当ξ=1时,超调量的绝对误差= 调节时间的相对误差==3.46% 误差分析:由于本试验中,用的参量比较多,有R1,R2,R3,R4;C1,C2;在它们的取值的实际调节中不免出现一些误差,误差再累加,导致最终结果出现了比较大的误差,另外,此实验用的导线要多一点,干扰和导线的传到误差也给实验结果造成了一定误差。但是在观察响应曲线方面,这些误差并不影响,这些曲线仍旧体现了它们本身应具有的特点,通过比较它们完全能够了解阶跃响应及其性能指标与系统参数之间的关系,不影响预期的效果。 实验结果说明 由本实验可以看出,当ωn一定时,超调量随着ξ的增加而减小,直到ξ达到某个值时没有了超调;而调节时间随ξ的增大,先减小,直到ξ达到某个值后又增大了。 经理论计算可知,当ξ=0.707时,调节时间最短,而此时的超调量也小于5%,此时的ξ为最佳阻尼比。此实验的ξ分布在0.707两侧,体现了超调量和调节时间随ξ的变化而变化的过程,达到了预期的效果。 图2.2 图2.1 图2.3

北航物理演示实验报告-旋光色散

旋光色散 【实验目的】:观察旋光色散现象。 【实验仪器】:旋光色散演示仪。 【实验原理】: 图1 旋光色散原理图 旋光色散是研究光学活性材料的偏振角随波长变化的一种色散效应。当偏振光通过某些物质(如石英、氯酸钠等晶体或食糖水溶液、松节油等),光矢量的振动面将以传播方向为轴发生转动,这一现象称为旋光现象。 本实验利用糖溶液的旋光性演示旋光现象及影响旋光效应的因素。糖溶液放在两个偏振片中间,一个偏振片用于起偏,另一个偏振片用于检偏。单色偏振光通过液态旋光物质时,振动面转过的角度即旋光度ΔΦ与旋光物质的性质、偏振光在旋光物质中经过的距离L、溶液浓度C有关,其关系为 ΔΦ=αCL 比例系数α称溶液的旋光率,它是与入射光波长有关的常数。旋光度大致与入射偏振光波长的平方成反比,这种旋光度随波长而变化的现象称为旋光色散。 【实验步骤】:

图2 旋光色散实验装置图 1、配置溶液。大约用300克蔗糖,玻璃管内的溶液大约占整个容器的2/3左右为妥,将溶液摇匀。 2、打开仪器灯箱光源,连续缓慢转动前端偏振片,可观察到玻璃管下半部有糖溶液的地方透过来的光的颜色赤橙黄绿青兰紫依次变化;管的上部没有糖溶液的地方仅有明暗的变化。 3、在光源和装有糖溶液的玻璃管之间加上滤色片,旋转偏振片,观察玻璃管上下半部的变化情况。 4、换用另一种颜色的滤色片,重复3的操作。 5、实验结束,关闭电源。 【实验应用】: 1、半定量地测量不同波长的光对偏振面旋转角度的影响。 在光源和装有糖溶液的玻璃管之间加上滤色片,旋转检偏器,记录下从玻璃管上方看视场最暗时检偏器的角度;再旋转检偏器,再记下从玻璃管下方看视场最暗时检偏器的角度;上述两个测量角位置之差就是糖溶液的旋光角度。 2、旋光法可用于各种光学活性物质的定量测定或纯度检验。 将样品在指定的溶剂中配成一定浓度的溶液,由测得的旋光度算出比旋光度,与标准比较,或以不同浓度溶液制出标准曲线,求出含量。在旋光计的基础上还发展了一种糖量计,专门用于测量蔗糖含量。用白光为光源,以石英楔抵消蔗糖溶液对不同波长光的色散,并将石英楔校正,标以蔗糖的百分含量,即可直接测出浓度,简便迅速,常用于制糖工业。

FPGA实验报告北航电气技术实验

FPGA电气技术实践 实验报告 院(系)名称宇航学院 专业名称飞行器设计与工程(航天)学生学号XXXXXXXX 学生姓名XXXXXX 指导教师XXXX 2017年11月XX日

实验一四位二进制加法计数器与一位半加器的设计实验时间:2017.11.08(周三)晚实验编号20 一、实验目的 1、熟悉QuartusII的VHDL的文本编程及图形编程流程全过程。 2、掌握简单逻辑电路的设计方法与功能仿真技巧。 3、学习并掌握VHDL语言、语法规则。 4、参照指导书实例实现四位二进制加法计数器及一位半加器的设计。 二、实验原理 .略 三、实验设备 1可编程逻辑实验箱EP3C55F484C8 一台(包含若干LED指示灯,拨码开关等)2计算机及开发软件QuartusII 一台套 四、调试步骤 1四位二进制加法计数器 (1)参照指导书实例1进行工程建立与命名。 (2)VHDL源文件编辑 由于实验箱上LED指示灯的显示性质为“高电平灭,低电平亮”,为实现预期显示效果应将原参考程序改写为减法器,且”q1<= q1+1”对应改为”q1<= q1-1”,以实现每输入一个脉冲“亮为1,灭为0”。 由于参考程序中的rst清零输入作用并未实现,所以应将程序主体部分的最外部嵌套关于rst输入是否为1的判断,且当rst为1时,给四位指示灯置数”1111”实现全灭,当rst为0时,运行原计数部分。 (3)参照指导书进行波形仿真与管脚绑定等操作,链接实验箱并生成下载文件 (4)将文件下载至实验箱运行,观察计数器工作现象,调试拨动开关查看是否清零。 可以通过改变与PIN_P20(工程中绑定为clk输入的I/O接口)相连导线的另一端所选择的实验箱频率时钟的输出口位置,改变LED灯显示变化频率。 并且对照指导书上对实验箱自带时钟频率的介绍,可以通过改变导线接口转换输入快慢,排查由于clk输入管脚损坏而可能引起的故障。

北航飞行力学实验班飞机典型模态特性仿真实验报告(精)

航空科学与工程学院 《飞行力学实验班》课程实验飞机典型模态特性仿真 实验报告 学生姓名:姜南 学号:11051136 专业方向:飞行器设计与工程 指导教师:王维军 (2014年 6 月29日 一、实验目的 飞机运动模态是比较抽象的概念, 是课程教学中的重点和难点。本实验针对这一问题,采用计算机动态仿真和在人-机飞行仿真实验平台上的驾驶员在环仿真实验,让学生身临其境地体会飞机响应与模态特性的关系,加深对飞机运动模态特性的理解。 二、实验内容 1.纵向摸态特性实验 计算某机在某状态下的短周期运动、长周期运动的模态参数;进行时域的非实时或实时仿真实验,操纵升降舵激发长、短周期运动模态,并由结果曲线分析比较模态参数;放宽飞机静稳定性,观察典型操纵响应曲线,并通过驾驶员在环实时仿真体验飞机的模态特性变化。

2.横航向模态特性实验 计算某机在某状态下的滚转、荷兰滚、螺旋模态参数;进行时域仿真计算,操纵副翼或方向舵,激发滚转、荷兰滚等运动模态,并由结果曲线分析比较模态参数。 三、各典型模态理论计算方法及模态参数结果表 1 纵向模态纵向小扰动运动方程 0000 1 00 0e p e p e p u w e u w q p u w q X X u u X X g Z Z w w Z Z Z q q M M M M M δδδδδ δδδθθ????????-???? ????????? ? ???????????=+??????????????????? ?????????????????? A =[ X

u X ?w Z u Z w 0?g Z q 0M ?u M ?w0 M q 010] =[?0.01999980.0159027?0.0426897?0.04034850?32.2869.6279 0?0.00005547?0.001893500?0.54005010] A 的特征值方程 |λ+0.0199998?0.01590270.0426897 λ+0.0403485032.2 ?869.627900.000055470.001893500λ+0.540050 ?1λ |=0 特征根λ1,2=?0.290657205979137±1.25842158268078i λ3,4=?0.00954194402086311±0.0377636398212079i 半衰期t 1/2由公式t 1/2=? ln2λ 求得,分别为 t 1/2,1=2.38475828674173s t 1/2,3=72.6421344585972s 振荡频率ω分别为 ω1=1.25842158268078rad/s ω3=0.0377636398212079rad/s 周期T 由公式T =

北航17系光电子实验报告实验5讲解

光电子技术实验报告

实验五光电池特性实验 一.实验目的: 1.学习掌握硅光电池的工作原理。 2.学习掌握硅光电池的基本特性。 3.掌握硅光电池基本特性测试方法。 二.实验原理: 光电池是一种不需要加偏置电压就能把光能直接转换成电能的PN结光电器件,按光电池的功用可将其分为两大类:即太阳能光电池和测量光电池,本仪器用的是测量用的硅光电池,其主要功能是作为光电探测,即在不加偏置的情况下将光信号转换成电信号。 图(20)图(21)如图(20)所示为2DR型硅光电池的结构,它是以P型硅为衬底(即在本征型硅材料中掺入三价元素硼或镓等),然后在衬底上扩散磷而形成N型层并将其作为受光面。如图(21)所示当光作用于PN结时,耗尽区内的光生电子与空穴在内建电场力的作用下分别向N区和P区运动,在闭合电路中将产生输出电流IL,且负载电阻RL上产生电压降为U。显然,PN结获得的偏置电压U与光电池输出电流IL与负载电阻RL有关,即U=IL?RL,当以输出电流的IL为电流和电压的正方向时,可以得到如图(22)所示的伏安特性曲线。

图(22)图(23)光电池在不同的光强照射下可以产生不同的光电流和光生电动势,硅光电池的光照特性曲线如图(23)所示,短路电流在很大范围内与光强成线性关系,开路电压随光强变化是非线性的,并且当照度在2000lx时就趋于饱和,因此,把光电池作为测量元件时,应把它当作电流源来使用,不宜用作电压源。 硒光电池和硅光电池的光谱特性曲线如图(25)所示,不同的光电池其光谱峰值的位置不同,硅光电池的在800nm附近,硒光电池的在540nm附近,硅光电池的光谱范围很广,在450~1100nm之间,硒光电池的光谱范围为340~750nm。 图(24)图(25)光电池的温度特性主要描述光电池的开路电压和短路电流随温度变化的情况,由于它关系到应用光电池设备的温度漂移,影响到测量精度或控制精度等主要指标,光电池的温度特性如图(24)所示。开路电压随温度升高而下降的速度较快,而短路电流随温度升高而缓慢增加,因此,当使用光电池作为测量元件时,在系统设计中应考虑到温度的漂移,并采取相应的措施进行补偿。 三.实验所需部件: 两种光电池、各类光源、实验选配单元、数字电压表(4 1/2位)自备、微安表(毫安表)、激光器、照度计(用户选配)。

北航物理实验绪论考试真题含答案

北航物理实验绪论测试题1 一、 单项选择题 1. 某测量结果0.01010cm 有( B )位有效数字。 A.3位 B.4位 C.5位 D.6位 2. 已知常数e=2.718281828……,测量L=0.0023,N=2.73,则(e-L)/N=( C ) A.0.994 B.0.9949 C.0.995 D.1.00 3. 物理量A=错误!未找到引用源。,那末其相对不确定度为(A ) A.错误!未找到引用源。 B.错误!未找到引用源。 C .错误!未找到引用源。 D.错误!未找到引用源。 4. 用作图法处理数据时,为保证精度,至少应使坐标纸的最小分格和测量值的( C )相 对应。 A.第一位有效数字 B.第二位有效数字 C.最后一位有效数字 D.最后一位准确数字 二、填空题: 5. 用计算器算出圆柱体的转动惯量J=645.0126错误!未找到引用源。,平均值的不确定度为 u(J)= :6.5、0.2 6:0.0058 7:ABC 8:BCD 则J+u(J)=( 6.5 0.2 )错误!未找到引用源。 6. 多量程电压表(1级,3- 7.5-15-30V )用于检测某电路两端的电压,如果用3V 档去测3V 电压,其相对不确定度为 0,0058 。如果用7.5V 档去测3V 电压,其相对不确定度为 。 三、多项选择题: 7. 满足正态分布的物理量,下面的叙述哪些是正确的? A 做任何次测量,其结果有68.3%的可能性落在区间错误!未找到引用源。内 B 设某次测量的结果为错误!未找到引用源。,则错误!未找到引用源。表示真值落在错误!未找到引用源。的概率为0.683 C 错误!未找到引用源。与错误!未找到引用源。的置信概率是相同的 D 错误!未找到引用源。的置信概率比错误!未找到引用源。的置信概率高 8. 指出下列关于仪器误差的叙述哪些是错误的(按物理实验课的简化要求) A.千分尺的仪器误差等于最小分度的一半 B.游标卡尺的仪器误差等于游标精度的一半 C.磁电式仪表的仪器误差=等级%×测量值 D.箱式电桥错误!未找到引用源。=等级%(测量值+基准值) 四、计算题 9. 弹簧振子的周期T 与质量m 的关系为错误!未找到引用源。。其中错误!未找到引用源。

北航eda实验报告

2014-2015-2-G02A3050-1 电子电路设计训练(数字EDA部分) 实验报告 (2015年5月19日) 教学班学号姓名组长签名成绩120311王天然* 120311马璇 120312唐玥 自动化科学与电气工程学院

目录 ( 2015年5月19日).........................................错误!未定义书签。目录 .........................................................错误!未定义书签。实验一、简单组合逻辑和简单时序逻辑............................错误!未定义书签。 简单的组合逻辑设计..................................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 测试模块源代码:..................................错误!未定义书签。 简单分频时序逻辑电路的设计...........................错误!未定义书签。 实验目的和内容:..................................错误!未定义书签。 实验源代码:......................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 (选作)设计一个字节(8位)比较器....................错误!未定义书签。 实验内容:........................................错误!未定义书签。 实验代码:........................................错误!未定义书签。 实验测试源代码:..................................错误!未定义书签。 实验小结.............................................错误!未定义书签。实验二、条件语句和always过程块...............................错误!未定义书签。 实验任务1——利用条件语句实现计数分频时序电路.......错误!未定义书签。 实验要求.........................................错误!未定义书签。 模块的核心逻辑设计...............................错误!未定义书签。 测试程序的核心逻辑设计...........................错误!未定义书签。 仿真实验关键结果及其解释.........................错误!未定义书签。 实验任务2——用always块实现较复杂的组合逻辑电路....错误!未定义书签。

北航物理实验绪论考试真题(套题含标准答案)

北航物理实验绪论考试真题(套题含答案)

————————————————————————————————作者:————————————————————————————————日期:

物理实验绪论测试题1 一、 单项选择题 1. 某测量结果0.01010cm 有( b )位有效数字。 A.3位 B.4位 C.5位 D.6位 2. 已知常数e=2.718281828……,测量L=0.0023,N=2.73,则(e-L)/N=( c ) A.0.994 B.0.9949 C.0.995 D.1.00 3. 物理量A=x+y x?y ,那末其相对不确定度为( a ) A. 2 x 2?y 2√x 2u 2(y )+y 2u 2(x) B. 2x 2?y 2 √x 2u 2(y )?y 2u 2(x) C .√ u 2(x )+u 2(y)(x+y)2 + u 2(x )+u 2(y)(x?y)2 D.√ u 2(x )+u 2(y)(x+y)2 ? u 2(x )?u 2(y)(x?y)2 4. 用作图法处理数据时,为保证精度,至少应使坐标纸的最小分格和测量值的( c )相对 应。 A.第一位有效数字 B.第二位有效数字 C.最后一位有效数字 D.最后一位准确数字 二、填空题: 5. 用计算器算出圆柱体的转动惯量J=645.0126g ?cm 2,平均值的不确定度为u(J)= 则J+u(J)=( ± )×102g ?cm 2 6. 多量程电压表(1级,3- 7.5-15-30V )用于检测某电路两端的电压,如果用3V 档去测3V 电压,其相对不确定度为 。如果用7.5V 档去测3V 电压,其相对不确定度为 。 三、多项选择题: 7. 满足正态分布的物理量,下面的叙述哪些是正确的?abc A 做任何次测量,其结果有68.3%的可能性落在区间[A ?δ,A +δ]内 B 设某次测量的结果为X i ,则X i ±δ(x)表示真值落在[X i ?δ(x),X i +δ(x)]的概率为0.683 C X i ±δ(x)与x ±δ(x)的置信概率是相同的 D x ±δ(x)的置信概率比X i ±δ(x )的置信概率高 8. 指出下列关于仪器误差的叙述哪些是错误的(按物理实验课的简化要求)bcd A.千分尺的仪器误差等于最小分度的一半 B.游标卡尺的仪器误差等于游标精度的一半 C.磁电式仪表的仪器误差=等级%×测量值 D.箱式电桥?仪=等级%(测量值+基准值) 四、计算题

北航物理研究性实验报告——示波器

北航物理研究性实验报告 专题:模拟示波器的使用及其应用 学号:10151192 班级:101517

姓名:王波 目录 目录 (2) 摘要 (3) 一.实验目的 (3) 二.实验原理 (3) 1.模拟示波器简介 (3) 2.示波器的应用 (6) 三.实验仪器 (6) 四.实验步骤 (7) 1.模拟示波器的使用 (7) 2.声速测量 (8) 五.数据记录与处理 (8) 六.讨论 (10)

摘要 示波器是一种用途十分广泛的电子测量仪器,它能直观、动态地显示电压信号随时间变化的波形,便于人们研究各种电现象的变化过程,并可直接测量信号的幅度、频率以及信号之间相位关系等各种参数。示波器是观察电路实验现象、分析实验中的问题、测量实验结果的重要仪器,也是调试、检验、修理和制作各种电子仪表、设备时不可或缺的工具。 一.实验目的 1.了解示波器的主要结构和波形显示及参数测量的基本原理,掌握 示波器、信号发生器的使用方法; 2.学习用示波器观察波形以及测量电压、周期和频率的方法; 3.学会用连续波方法测量空气速度,加深对共振、相位等概念的理 解; 4.用示波器研究电信号谐振频率、二极管的伏安特性曲线、同轴电 缆中电信号传播速度等测量方法。 二.实验原理

1.模拟示波器简介 模拟示波器是利用电子示波管的特性,将人眼无法直接观测的交变电信号转换成图像并显示在荧光屏上以便测量和分析的电子仪器。它主要由阴极射线示波管,扫描、触发系统,放大系统,电源系统四部分组成。 示波管结构图 (1)工作原理 模拟示波器的基本工作原理是:被测信号经Y轴衰减后送至Y1放大器,经延迟级后到Y2放大器,信号放大后加到示波管的Y轴偏转板上。 若Y轴所加信号为图所示的正弦信号,X输入开关S切换到“外”输入,且X轴没有输入信号,则光点在荧光屏竖直方向上按正弦规律上下运动,随着Y轴方向信号的提高,由于视觉暂留,在荧光屏上显示一条竖直扫描线。同理,如在X轴所加信号为锯齿波信号,且Y轴没有输入信号,则光点在荧光屏上显示一条水平直线。

北航电气实验FPGA实验报告

北京航空航天大学电气实验报告 FPGA实验 张天 130325班 学号:13031220

一.实验目的 略 二.实验要求 略 三.实验设备 略 四.实验内容 略 五.实验实例 1.实例6-1 思考题1:输出信号q3q2q1绑定接口电路的七段数码管或米字型数码管或LED点 阵显示? 答: 思考题2:怎样修改成4位二进制减法计数器,具有清零,启动控制功能等? 答: 思考题3:把计数器修改成2位或更多位十进制计数功能,再用七段数码管进行显示等? 答: 2.实例6-2 思考题:一位半加器电路采用VHDL语言实验 答: library ieee; use ieee.std_logic_1164.all; use iee.std_logic_unsigned.all; entity halfadd is port (a,b;in std_logic sum,carry; out std_logic) end entity halfadd; architecture halfadd is begin sum<=a and(not b)+b and (not a); carry<= a and b; end architecture halfadd; 六.实验过程 我们组做的是一个利用led点阵规律亮灭变化形成字体,并且字体产生变化,形成“自动化?”的样子,实现图片如下图:

1.实验分析: 实验设计思路: 本实验的设计思路是利用led灯的 辉光效应,利用逐行扫描,在高频情况下就会显示所有行的亮灯,进而形成汉字,并且有时钟计数程序,当时钟数字达到规定值(本实验为111111111b)时,跳转到下一个状态,显示第二个憨子。每个汉字的颜色由led灯决定,改led矩阵有红绿两种led灯,因此有红绿橙三种颜色显示。 2.实现过程 对设计思路的实现并非一帆风顺,最初编写的时候遇到了一些问题。首先,定义输入输出角是个繁琐的事情(需要定义40+次,每次必须手动),另外,在程序编写过程中,也出现了一些逻辑错误,对于错误,我们仔细逐条语句分析,最终解决了错误,解决过程中也加深了对FPGA的语言逻辑及硬件结构的理解。 七.FPGA使用心得 在学习FPGA过程中,我获得了很多收获。首先,由于有单片机的基础,上手过程并不是十分复杂,对于输入输出的理解我没有遇到太多阻碍。这次学习也验证了我具有短时间内掌握一款新型的芯片的能力。学习过程中最大的困难就是VDHL语言的编写。我们以前有c语言的基础,不过学习这种新的语言还是花出了不少时间与精力,现在可以说基本掌握的VDHL的基本写法和思路,能运 用到需要的程序中来。另外,调试的过程能极强地加大对程序的理解及逻辑的构建,在调试中,逐渐明白了以前不懂的东西,对FPGA的工作原理的理解更加透彻了。 不了解的人可能会把FPGA当做一种单片机,但其实,相比于单片机,FPGA 是有很多优势的。其无固定的硬件结构使其具有远超单片机的灵活性,另外,它的编程方法可以同步进行多个process 使其能同步处理多个进程,因此,它的

北航惯性导航综合实验四实验报告

基于运动规划的惯性导航系统动态实验 GAGGAGAGGAFFFFAFAF

二零一三年六月十日 实验4.1 惯性导航系统运动轨迹规划与设计实验一、实验目的 为进行动态下简化惯性导航算法的实验研究,进行路径和运动状态规划,以验证不同运动状态下惯导系统的性能。通过实验掌握步进电机控制方法,并产生不同运动路径和运动状态。 二、实验内容 学习利用6045B 控制板对步进电机进行控制的方法,并控制电机使运动滑轨产生定长运动和不同加速度下的定长运动。 三、实验系统组成 USB_PCL6045B 控制板(评估板)、运动滑轨和控制计算机组成。 四、实验原理 IMU安装误差系数的计算方法 GAGGAGAGGAFFFFAFAF

USB_PCL6045B 控制板采用了USB 串行总线接口通信方式,不必拆卸计算机箱就可以在台式机或笔记本电脑上进行运动控制芯片PCL6045B 的学习和评估。 USB_PCL6045B 评估板采用USB 串行总线方式实现评估板同计算机的数据交换,由评估板的FIFO 控制回路完成步进电机以及伺服电机的高速脉冲控制,任意 2 轴的圆弧插补,2-4 轴的直线插补等运动控制功能。USB_PCL6045B 评估板上配置了全部PCL6045B 芯片的外部信号接口和增量编码器信号输入接口。由 USB_PCL6045B 评估测试软件可以进行PCL6045B 芯片的主要功能的评估测试。 GAGGAGAGGAFFFFAFAF

图4-1-1USB_PCL6045B 评估板原理框图如图4-1-1 所示,CN11 接口主要用于外部电源连接,可以选择DC5V 单一电源或DC5V/24V 电源。CN12 接口是USB 信号接口,用于USB_PCL6045B 评估板同计算机的数据交换。 USB_PCL6045B 评估板已经完成对PCL6045B 芯片的底层程序开发和硬件资源与端口的驱动,并封装成156 个API 接口函数。用户可直接在VC 环境下利用API 接口函数进行编程。 五、实验内容 GAGGAGAGGAFFFFAFAF

相关主题
文本预览
相关文档 最新文档