当前位置:文档之家› 数字系统课程设计报告

数字系统课程设计报告

数字系统课程设计报告
数字系统课程设计报告

基于VHDL的交通灯控制器设计

作者:叶展(04008136)

杨运峰(04008137)

史泰龙(04008115)

目录

1.题目要求 (2)

2.方案设计 (2)

(1)总体方案设计 (2)

(2)单元模块设计 (5)

(3)各单元模块的连接 (6)

3.仿真调试 (7)

4.设计总结 (9)

(1)设计的小结和体会 (9)

(2)对设计的进一步完善提出意见或建议 (9)

5.成员分工 (9)

6.参考文献 (10)

7.附录 (11)

一、题目要求

在两个相邻十字路口处各有四处交

通灯,标为A和B,每一处的要求如下:

每一处都有3个灯指示左转,直行和右转

车辆,并且灯也分红色和黄色绿色,并配

有时间显示,调研实际的运行情况并设计

出对应的电路。并且要完成以下附加功

能:第一,可以将系统根据时间来调整,

在白天某一路段比较繁忙对应的通行时

间较长,晚上因为另一路段繁忙则做适当

的调整;第二,如系统出现故障不能正常

显示,则黄灯全部闪烁以提醒车辆注意。

要求系统有一个系统时钟,按照24小时

计时,A处和B处早上7点到晚上8点,

南北方向绿灯通行时间为50秒,黄灯5

秒,左拐灯15秒,黄灯5秒,红灯40

秒。其余时间分别为60秒,5秒,20秒,

5秒,30秒。A处和B处的交通灯是联动

的,即A处驶往B处的车辆,在A处南北方向交通灯绿灯后20秒钟后B处的南北方向交通灯绿灯通行。

二、方案设计

(1)总体方案设计

我们小组成员展开讨论,结合本题目

的要求,并且参考了实际路灯的运行情况

和查阅了相关资料后,提出了一种切实可

行的路灯控制方案——即路灯八状态轮

换循环控制方案。

从单一方向上看,单个路口红绿灯转换顺序为:绿灯(50s)—黄灯(5s)—左拐灯(15s)—黄灯(5s)—红灯(40s)。(当此方向上路灯为红灯时,即40s的时间内,另一方向上的路灯要完成,绿灯(15s)—黄灯(5s)—左拐灯(15s)—黄灯(5s),的转换。)

当路况处于闲暇时间段的时候,路灯工作于另外一种时间机制。即,绿灯(60s)—黄灯(5s)—左拐灯(20s)—黄灯(5s)—红灯(30s)。(当此方向上路灯为红灯时,即30s的时间内,另一方向上的路灯要完成,绿灯(5s)—黄灯(5s)—

左拐灯(15s)—黄灯(5s),的转换。)A,B路口详细工作情况见下图。

(注:’/’之前的为南北方向的路灯状况,’/’之后的为东西方向的路灯状况;括号内秒数对应为倒计时时间。)

题目要求A,B两路口联动(即A处驶往B处的车辆,在A处南北方向交通灯绿灯后20秒钟后B处的南北方向交通灯绿灯通行。),本设计方案中,B 路口从A的第六状态开始工作,和A路口的状态刚好相差20s,从而可以实现A,B路口的联动。

图示为A,B路口繁忙时段(7a.m~8p.m)的工作情况,一次状态循环用时115s。当时间为闲时段的时候,状态循环模式完全一样,只是路灯倒计时时间如前述对应,作相应的改变,此时一次状态循环用时120s。

综上所述,本设计方案先采用一个总系统时钟进行一天24小时循环计时,并以此时钟来判断当前处于繁忙时段还是闲时段,已决定当前的工作状态。

当系统处于繁忙时段时,采用115s的计数器来控制路灯的循环工作;当系统转换到闲时段时,则采用另一120s的计数器来控制路灯的循环。

(2)单元模块设计

◆系统时钟单元

计数:0 ~ 24*3600

◆忙时段计数器单元

计数:0 ~ 115

◆闲时段计数器单元

计数:0 ~ 120

◆主控制单元

根据系统时钟和计数器单元来控制A,B路口的路灯显示状况,并且各自显示路灯倒计时时间。

(3)各单元模块的连接

三、仿真调试

据题目要求,为系统设定一个时钟输入(clock),突发事件控制输入(hold),和系统重设输入(reset)。

由功能仿真图可以看到,当系统时钟开始输入时,系统时间对应为凌晨0点,即闲时段。A1路口从第一状态开始循环,绿灯(60s)—黄灯(5s)—左拐灯(20s)—黄灯(5s)—红灯(30s)。A2路口循环状态为:红灯(90s)—绿灯(5s)—黄灯(5s)—左拐灯(15s)—黄灯(5s),的转换。)

B1路口状态滞后A1路口20s的时间,B2路口滞后A2路口20s的时间,刚好满足两路口的联动性。

当路况处于忙时间段的时候,路灯工作于另外一种时间机制。

A1:绿灯(50s)—黄灯(5s)—左拐灯(15s)—黄灯(5s)—红灯(40s);A2:红灯(75s)—绿灯(15s)—黄灯(5s)—左拐灯(15s)—黄灯(5s);B1路口状态滞后A1路口20s的时间;

B2路口状态滞后A2路口20s的时间。

四、设计总结

(1)设计的小结和体会

此次VHDL设计我们小组进行的比较顺利,从最开始的题目理解,方案设计分析到具体设计以及最后的仿真调试成功,基本上都是水到渠成。我觉得这其中最重要的一点是我们提出了比较好的方案,实现简单,条理清楚,并且很好的满足题目要求。比如用先conunt_system时钟来决定当先的闲/忙状态,然后分别用count1和count2来分别实现闲忙时路灯的具体控制。在控制路灯的同时显示倒计时时间,更符合实际情况。

(2)对设计的进一步完善提出意见或建议

此项关于路灯的VHDL设计基本上达到了题目的功能要求。但是在时间的显示的功能仿真中,波形有一些毛刺,分析考虑主要是时间向量的各位并没有完全同时随着时钟变化,存在微小的偏差。由于毛刺时间非常小,在实际显示过程中,时间显示不存在跳变问题。

另外一点就是题目对路灯显示时间的限制使得路灯变换时间并不是十分合理。如闲时段时A2和B2路口的绿灯时间只有5s。这个与事实不符,如果能调整路灯显示时间,将会使设计更加合理。

五、成员分工

小组成员共同讨论查阅资料,确定系统的主要设计方案。

杨运峰——系统具体框架的确立和vhdl主体程序的编写。

叶展——参与程序的编写和检查修改,并完成编写设计报告。

史泰龙——参与设计报告的编写和检查改进。

六、参考文献

计算机结构与逻辑设计/黄正瑾编著–北京:高等教育出版社,2001,6

现代电子技术—VHDL与数字系统设计/杨刚,龙海燕编著。北京:电子工业出版社,2004。4(高等学校电工电子实践系列)

数字电路与可编程技术试验教程/武俊鹏,蒙昭林,付小晶主编—哈尔滨:哈尔滨工程大学出版社,2007,3

七、附录

library ieee;

entity Countersystem is

port

(Clock:in std_logic;

Reset:in std_logic;

Hold:in std_logic;

CountNum:buffer integer range 0 to 24*3600 );

end;

architecture Behavoir of Countersystem is begin

process(Reset,Clock)

begin

if Reset='1' then

CountNum<=0;

elsif rising_edge(Clock) then

if Hold='1' then

CountNum<=CountNum;

else

if CountNum=24*3600 then

CountNum<=0;

else

CountNum<=CountNum+1;

end if;

end if;

end if;

end process;

end;

library ieee;

entity Counter115 is

port

(Clock:in std_logic;

Reset:in std_logic;

Hold:in std_logic;

CountNum:buffer integer range 0 to 114 );

end;

architecture Behavoir of Counter115 is begin

process(Reset,Clock)

begin

if Reset='1' then

CountNum<=0;

elsif rising_edge(clock) then

if Hold='1' then

CountNum<=CountNum;

else

if CountNum=114 then

CountNum<=0;

else

CountNum<=CountNum+1;

end if;

end if;

end if;

end process;

end;

library ieee;

entity Counter120 is

port

(Clock:in std_logic;

Reset:in std_logic;

Hold:in std_logic;

CountNum:buffer integer range 0 to 119 );

end;

architecture Behavoir of Counter120 is begin

process(Reset,Clock)

begin

if Reset='1' then

CountNum<=0;

elsif rising_edge(Clock) then

if Hold='1' then

CountNum<=CountNum;

else

if CountNum=119 then

CountNum<=0;

else

CountNum<=CountNum+1;

end if;

end if;

end if;

end process;

end;

RedB2,YellowB2,GreenB2,LeftB2:out std_logic

);

end;

architecture Behavior of controller is

begin

process(Clock)

begin

if falling_edge(Clock) then

if Hold='1' then

RedA1<='0';YellowA1<='1';GreenA1<='0';LeftA1<='0';

RedA2<='0';YellowA2<='1';GreenA2<='0';LeftA2<='0';

RedB1<='0';YellowB1<='1';GreenB1<='0';LeftB1<='0';

RedB2<='0';YellowB2<='1';GreenB2<='0';LeftB2<='0';

else

if(Countsystem>=7*3600 and Countsystem<=20*3600) then

if(Countnum1<50) then --state of crossing A when busy

RedA1<='0';YellowA1<='0';GreenA1<='1';LeftA1<='0';NumA1<=50-CountNum1; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=75-CountNum1;

elsif Countnum1<(50+5) then

RedA1<='0';YellowA1<='1';GreenA1<='0';LeftA1<='0';NumA1<=55-CountNum1; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=75-CountNum1;

elsif Countnum1<(50+5+15) then

RedA1<='0';YellowA1<='0';GreenA1<='0';LeftA1<='1';NumA1<=70-CountNum1; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=75-CountNum1;

elsif Countnum1<(50+5+15+5) then

RedA1<='0';YellowA1<='1';GreenA1<='0';LeftA1<='0';NumA1<=75-CountNum1; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=75-CountNum1;

elsif Countnum1<(50+5+15+5+15) then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=115-CountNum1; RedA2<='0';YellowA2<='0';GreenA2<='1';LeftA2<='0';NumA2<=90-CountNum1;

elsif Countnum1<(50+5+15+5+15+5) then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=115-CountNum1; RedA2<='0';YellowA2<='1';GreenA2<='0';LeftA2<='0';NumA2<=95-CountNum1;

elsif Countnum1<(50+5+15+5+15+5+15) then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=115-CountNum1; RedA2<='0';YellowA2<='0';GreenA2<='0';LeftA2<='1';NumA2<=110-CountNum1;

else

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=115-CountNum1; RedA2<='0';YellowA2<='1';GreenA2<='0';LeftA2<='0';NumA2<=115-CountNum1;

end if;

if(Countnum1<15) then --state of crossing B when busy

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=20-CountNum1; RedB2<='0';YellowB2<='0';GreenB2<='0';LeftB2<='1';NumB2<=15-CountNum1;

elsif(Countnum1<20) then

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=20-CountNum1; RedB2<='0';YellowB2<='1';GreenB2<='0';LeftB2<='0';NumB2<=20-CountNum1;

elsif Countnum1<(20+50) then

RedB1<='0';YellowB1<='0';GreenB1<='1';LeftB1<='0';NumB1<=70-CountNum1;

RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=95-CountNum1;

elsif Countnum1<(20+50+5) then

RedB1<='0';YellowB1<='1';GreenB1<='0';LeftB1<='0';NumB1<=75-CountNum1; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=95-CountNum1;

elsif Countnum1<(20+50+5+15) then

RedB1<='0';YellowB1<='0';GreenB1<='0';LeftB1<='1';NumB1<=90-CountNum1; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=95-CountNum1;

elsif Countnum1<(20+50+5+15+5) then

RedB1<='0';YellowB1<='1';GreenB1<='0';LeftB1<='0';NumB1<=95-CountNum1; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=95-CountNum1;

elsif Countnum1<(20+50+5+15+5+15) then

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=115-CountNum1+20; RedB2<='0';YellowB2<='0';GreenB2<='1';LeftB2<='0';NumB2<=110-CountNum1;

else

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=115-CountNum1+20; RedB2<='0';YellowB2<='1';GreenB2<='0';LeftB2<='0';NumB2<=115-CountNum1;

end if;

else

if(Countnum2<60) then --state of crossing A when not busy RedA1<='0';YellowA1<='0';GreenA1<='1';LeftA1<='0';NumA1<=60-CountNum2; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=90-CountNum2;

elsif Countnum2<65 then

RedA1<='0';YellowA1<='1';GreenA1<='0';LeftA1<='0';NumA1<=65-CountNum2; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=90-CountNum2;

elsif Countnum2<85 then

RedA1<='0';YellowA1<='0';GreenA1<='0';LeftA1<='1';NumA1<=85-CountNum2;

elsif Countnum2<90 then

RedA1<='0';YellowA1<='1';GreenA1<='0';LeftA1<='0';NumA1<=90-CountNum2; RedA2<='1';YellowA2<='0';GreenA2<='0';LeftA2<='0';NumA2<=90-CountNum2;

elsif Countnum2<95 then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=120-CountNum2; RedA2<='0';YellowA2<='0';GreenA2<='1';LeftA2<='0';NumA2<=95-CountNum2;

elsif Countnum2<100 then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=120-CountNum2; RedA2<='0';YellowA2<='1';GreenA2<='0';LeftA2<='0';NumA2<=100-CountNum2;

elsif Countnum2<115 then

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=120-CountNum2; RedA2<='0';YellowA2<='0';GreenA2<='0';LeftA2<='1';NumA2<=115-CountNum2;

else

RedA1<='1';YellowA1<='0';GreenA1<='0';LeftA1<='0';NumA1<=120-CountNum2; RedA2<='0';YellowA2<='1';GreenA2<='0';LeftA2<='0';NumA2<=120-CountNum2;

end if;

if(Countnum2<15) then --state of crossing B when not busy RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=20-CountNum2; RedB2<='0';YellowB2<='0';GreenB2<='0';LeftB2<='1';NumB2<=15-CountNum2;

elsif(Countnum2<20) then

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=20-CountNum2; RedB2<='0';YellowB2<='1';GreenB2<='0';LeftB2<='0';NumB2<=20-CountNum2;

elsif Countnum2<80 then

RedB1<='0';YellowB1<='0';GreenB1<='1';LeftB1<='0';NumB1<=80-CountNum2; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=110-CountNum2;

elsif Countnum2<85 then

RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=110-CountNum2;

elsif Countnum2<105 then

RedB1<='0';YellowB1<='0';GreenB1<='0';LeftB1<='1';NumB1<=105-CountNum2; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=110-CountNum2;

elsif Countnum2<110 then

RedB1<='0';YellowB1<='1';GreenB1<='0';LeftB1<='0';NumB1<=110-CountNum2; RedB2<='1';YellowB2<='0';GreenB2<='0';LeftB2<='0';NumB2<=110-CountNum2;

elsif Countnum2<115 then

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=120-CountNum2+20; RedB2<='0';YellowB2<='0';GreenB2<='1';LeftB2<='0';NumB2<=115-CountNum2;

else

RedB1<='1';YellowB1<='0';GreenB1<='0';LeftB1<='0';NumB1<=120-CountNum2+20; RedB2<='0';YellowB2<='1';GreenB2<='0';LeftB2<='0';NumB2<=120-CountNum2;

end if;

end if;

end if;

end if;

end process;

end;

数字信号处理课程设计报告

《数字信号处理》课程设计报告 设计题目: IIR滤波器的设计 专业: 班级: 姓名: 学号: 指导教师: 2010年月日

1、设计目的 1、掌握IIR 滤波器的参数选择及设计方法; 2、掌握IIR 滤波器的应用方法及应用效果; 3、提高Matlab 下的程序设计能力及综合应用能力。 4、了解语音信号的特点。 2、设计任务 1、学习并掌握课程设计实验平台的使用,了解实验平台的程序设计方法; 2、录制并观察一段语音信号的波形及频谱,确定滤波器的技术指标; 3、根据指标设计一个IIR 滤波器,得到该滤波器的系统响应和差分方程,并根据差分方程将所设计的滤波器应用于实验平台,编写相关的Matlab 程序; 4、使用实验平台处理语音信号,记录结果并进行分析。 3、设计内容 3.1设计步骤 1、学习使用实验平台,参见附录1。 2、使用录音机录制一段语音,保存为wav 格式,录音参数为:采样频率8000Hz、16bit、单声道、PCM 编码,如图1 所示。 图1 录音格式设置 在实验平台上打开此录音文件,观察并记录其波形及频谱(可以选择一段较为稳定的语音波形进行记录)。 3、根据信号的频谱确定滤波器的参数:通带截止频率Fp、通带衰减Rp、阻带截止频率Fs、阻带衰减Rs。 4、根据技术指标使用matlab 设计IIR 滤波器,得到系统函数及差分方程,并记录得到系统函数及差分方程,并记录其幅频响应图形和相频响应图形。要求设计 第 1页出的滤波器的阶数小于7,如果不能达到要求,需要调整技术指标。 5、记录滤波器的幅频响应和系统函数。在matlab 中,系统函数的表示公式为:

因此,必须记录系数向量a 和b。系数向量a 和b 的可以在Matlab 的工作空间(WorkSpace)中查看。 6、根据滤波器的系统函数推导出滤波器的差分方程。 7、将设计的滤波器应用到实验平台上。根据设计的滤波器的差分方程在实验平台下编写信号处理程序。根据运行结果记录处理前后的幅频响应的变化情况,并试听处理前后声音的变化,将结果记录,写入设计报告。 3.2实验程序 (1)Rs=40; Fs=1400; Rp=0.7; Fp=450; fs=8000; Wp=2*pi*Fp;Ws=2*pi*Fs; [N,Wn]=buttord(Wp,Ws,Rp,Rs,'s'); [b1,a1]=butter(N,Wn,'s'); [b,a]=bilinear(b1,a1,fs); [H,W]=freqz(b,a); figure; subplot(2,1,1);plot(W*fs/(2*pi),abs(H));grid on;title('频率响应'); xlabel('频率');ylabel('幅值');、 subplot(2,1,2); plot(W,angle(H));grid on;title('频率响应'); xlabel('相位(rad)');ylabel('相频特性'); 3.3实验结果(如图): N =5 Wn=6.2987e+003 第 2页

数据库课程设计报告:学生成绩管理系统

《数据库系统原理》课程设计报告 学生成绩管理系统 设计成员 所在专业 所在班级 指导教师 提交时间

目录 卷首语:读书笔记 (4) 1、课程设计的目的 (6) 2、课题组成员的设计任务 (7) 3、学生信息管理系统概述 (8) 4、系统需求分析 (10) 5、数据库设计 (12) 6、系统模块详细设计 (17) 7、课程设计设计总结 (21) 8、程序源代码 (22) 参考文献 (50)

学生信息管理系统 班级:制作成员:指导教师:

卷首语: I、读书笔记 关于网上花店管理系统的读书笔记: 在网上购物逐步平民化的今天,网上购物人数不断增加,现代IT技术和互联网的结合。给了市场创造了无限商机!我阅读了一片“网上花店管理系统”的论文。该论文主要研究网上花店管理系统。该系统以MySQL作为后台数据库,JSP作为前台开发工具,通过Java中的JDBC连接数据库。提供给用户网上浏览,购买,支付等功能,同时.管理员对可以该系统进行维护和管理! SQL Server安全可靠,性能好,易用性强,JSP的Web运用跨平台,系统底层采用Java开发。Java语言简单,面向对象,安全性高的特点,运用Serlvet 模式和Tomcat服务器。这几点的综合搭配使得该系统灵活方便易用,简化了动态网站的开发。 网上花店管理系统实现了用户注册,网上订购支付,留言,购物车,鲜花资料管理和用户管理,订单管理等功能。SQl数据库实现了用户注册登记信息的存储,和网站资料维护,更新等使得数据的管理更加便利,高效…JSP则为用户提高动态图形界面,简化了操作,提高了易用性。论文还详细介绍了系统的逻辑结构设计,逻辑图,总功能设计,和数据库设计等。该系统即使是不懂web 技术的人也可以熟悉运用。 开发工具和数据库的工具有很多,各有各的优势。在互联网大行其道的时代,电脑技术顺应着时代的发展,只有我们把握运用好各类技术,相互结合与利用,才能制作出更好的软件和程序。 在现在信息化高速发展的时代,信息只有快,准,精才能发挥其价值。所以机器代替人力是必然的历史发展趋势,人工操作必将被计算机代替。计算机在我们的日常生活中的使用越来越不可或缺,计算机进行信息管理,不仅提高了工作效率,而且大大的提高了其安全性.尤其对于复杂的信息管理,计算机能够充分发挥它的优越性. 数据库技术,已经成为先进信息技术的重要组成部分,是现代计算机信息系统和计算机应用系统的基础和核心。数据库技术从诞生到现在,在不到半个世纪

数字图像处理 课程设计报告

数字图像处理 课程设计报告 姓名: 学号: 班级: 设计题目:图像处理 教师:赵哲老师 提交日期: 12月29日

一、设计内容: 主题:《图像处理》 详细说明:对图像进行处理(简单滤镜,模糊,锐化,高斯模糊等),对图像进行处理(上下对称,左右对称,单双色显示,亮暗程度调整等),对图像进行特效处理(反色,实色混合,色彩平衡,浮雕效果,素描效果,雾化效果等), 二、涉及知识内容: 1、二值化 2、各种滤波 3、算法等 三、设计流程图 四、实例分析及截图效果: 运行效果截图: 第一步:读取原图,并显示 close all;clear;clc; % 清楚工作窗口clc 清空变量clear 关闭打开的窗口close all I=imread(''); % 插入图片赋给I imshow(I);% 输出图I I1=rgb2gray(I);%图片变灰度图 figure%新建窗口 subplot(321);% 3行2列第一幅图 imhist(I1);%输出图片

title('原图直方图');%图片名称 一,图像处理模糊 H=fspecial('motion',40); %% 滤波算子模糊程度40 motion运动 q=imfilter(I,H,'replicate');%imfilter实现线性空间滤波函数,I图经过H滤波处理,replicate反复复制q1=rgb2gray(q); imhist(q1); title('模糊图直方图'); 二,图像处理锐化 H=fspecial('unsharp');%锐化滤波算子,unsharp不清晰的 qq=imfilter(I,H,'replicate'); qq1=rgb2gray(qq); imhist(qq1); title('锐化图直方图'); 三,图像处理浮雕(来源网络) %浮雕图 l=imread(''); f0=rgb2gray(l);%变灰度图 f1=imnoise(f0,'speckle',; %高斯噪声加入密度为的高斯乘性噪声 imnoise噪声污染图像函数 speckle斑点 f1=im2double(f1);%把图像数据类型转换为双精度浮点类型 h3=1/9.*[1 1 1;1 1 1;1 1 1]; %采用h3对图像f2进行卷积滤波 f4=conv2(f1,h3,'same'); %进行sobel滤波 h2=fspecial('sobel'); g3=filter2(h2,f1,'same');%卷积和多项式相乘 same相同的 k=mat2gray(g3);% 实现图像矩阵的归一化操作 四,图像处理素描(来源网络) f=imread(''); [VG,A,PPG] = colorgrad(f); ppg = im2uint8(PPG); ppgf = 255 - ppg; [M,N] = size(ppgf);T=200; ppgf1 = zeros(M,N); for ii = 1:M for jj = 1:N if ppgf(ii,jj)

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

信号与线性系统课程设计报告分析

信号与线性系统课程设计 报告 课题五基于FIR滤波的语音信号处理系统设计 班级: 姓名: 学号: 组号及同组人: 成绩: 指导教师: 日期:

课题五基于FIR滤波的语音信号处理系统设计 摘要:MATLAB是由美国mathworks公司发布的主要面对科学计算、可视化及交互式程序设计的高科技计算环境。它将数值分析、矩阵计算、科学数据可视化以及非线性动态系统的建模和仿真等诸多强大功能集成在一个易于使用的视窗环境中,为科学研究、工程设计以及必须进行有效数值计算的众多科学领域提供了一种全面的解决方案,并在很大程度上摆脱了传统非交互式程序设计语言(如C、Fortran)的编辑模式,代表了当今国际科学计算软件的先进水平。MATLAB 可以进行矩阵运算、绘制函数和数据、实现算法、创建用户界面、连接其他编程语言的程序等,主要应用于工程计算、控制设计、信号处理与通讯、图像处理、信号检测、金融建模设计与分析等领域。 MATLAB特点:1) 高效的数值计算及符号计算功能,能使用户从繁杂的数学运算分析中解脱出来;2) 具有完备的图形处理功能,实现计算结果和编程的可视化;3)友好的用户界面及接近数学表达式的自然化语言,使学者易于学习和掌握;4)功能丰富的应用工具箱,为用户提供了大量方便实用的处理工具。 关键词:GUI界面,信号采集,内插恢复,重采样,滤波器 一、课程设计目的及意义 本设计课题主要研究数字语音信号的初步分析方法、FIR数字滤波器的设计及应用。通过完成本课题的设计,拟主要达到以下几个目的: (1)熟悉Matlab软件的特点和使用方法。 (2)熟悉LabVIEW虚拟仪器的特点以及采用LabVIEW进行仿真的方法。 (3)掌握信号和系统时域、频域特性分析方法。 (4)掌握FIR数字滤波器的设计方法(窗函数设计法、频率采样设计法)及应用。 (5)了解语音信号的特性及分析方法。 (6)通过本课题的设计,培养学生运用所学知识分析和解决实际问题的能力。 二、课题任务 (一)简单数字语音信号处理系统的Matlab设计。 使用GUI进行系统的图形用户界面设计,在该界面中包括对语音信号的读取,对信号的时域,频域分析,添加噪声,设计FIR数字滤波器(利用窗函数设计法、频率采样设计法任选)实现噪声滤除。具体任务如下: (1)对语音信号进行采集(读取),对数字语音信号加入干扰噪声,画出原始信号及带噪信号的时域波形,利用FFT进行频域分析,画出相应波形,并对语音进行播放。 (3)根据对语音信号及噪声的实际情况分析,选择适当的FIR数字滤波器进行设计,并对噪声进行滤除。

数据库课程设计报告

《数据库类课程设计》 系统开发报告 学号:111007133 姓名:邢小迪 题目:企业员工薪资管理 指导教师:王红梅 提交时间:2013年6月01日 计算机科学与应用系

目录 一绪论 二员工薪资管理系统概述 (1) 现状分析 (1) 系统目标 (2) 系统特点 (3) 三员工薪资管理系统数据库设计 (3) 需求分析 (3) 数据库物理结构分析 (4) 数据库概念结构设计 (6) 数据库逻辑结构设计 (9) 四员工薪资管理系统数据库功能模块的创建 (12) 五总结 (21) 体会 (21) 参考文献 (22)

一绪论 随着计算机技术的飞速发展和经济体制改革的不断深入,传统企业管理方法、手段以及工作效率已不能适应新的发展需要,无法很好地完成员工工资管理工作。提高公司企业管理水平的主要途径是更新管理者的思想,增强对管理活动的科学认识。基于 SQL server数据库技术建立一个通用工资管理系统,该系统为提供了查询、增加记录、删除等功能,功能比较的齐全,并对工资进行了统计如津贴管理、报表统计等。基本上能满足管理员和公司的要求。 此次数据库课程设计的主要设计如下: 原理分析、程序设计过程、程序实现和程序调试以及数据库的设计。 需求分析、概要结构设计、逻辑结构设计、物理结构设计和数据库的实施和维护。 二员工薪资管理系统概述 1、现状分析 随着企业人员数量增加,企业的工资管理工作也变得越来越复杂。早期的工资统计和发放都是使用人工方式处理纸质材料,不仅花费财务人员大量的时间且不易保存,往往由于个人的因素抄写不慎或计算疏忽,出现工资发放错误的现象。早期工资管理多采取纸质材料和具有较强的时间限制。随着我国国民经济建设

51CTO下载电子商务网站课程设计报告范例

1.正文 (1)引言 ①.程序设计的背景 21世纪的重要特征是数字化、网络化和信息化。自从上个世界90年代以后,以因特网为代表的计算机网络得到了飞速的发展,已从最初的教育科研网络发展成为商业网络,并已成为仅次于全球网的世界第二大网络。很多人认为现在已经是互联网的时代,这是因为互联网正在并继续改变着我们的工作和生活的各个方面,加速了全球信息革命的进程。现在人们的生活、工作、学习和交往都已经离不开因特网,互联网成为全球通信与贸易的重要工具。网上购物系统正在成为人们日益信赖的购物平台,其以优越的方便快捷性、实用性、可获得性等特性获得越来越多人的青睐,网上购物逐渐成为很多人购物的主要途径。另外,随着计算机身份认证技术、数字签名、数据库安全、数字水印、信息隐藏、入侵检测、防火墙等网络安全技术的发展使得网上购物的环境越来越安全,大型的合理构建,政府机构完备的法律制度都极大的保证了网上购物的安全性,提高了顾客的信任度,使得网络购物更加普及。作为当代的大学生,网络更是贯穿于我们的生活,加上年轻人乐于尝试新生事物的心理趋向也让我们对于网上购物有了更多了解并参与其中。基于对网络购物环境的理解,结合自己的网络购物的体会,本小组成员进行了此次课程设计,基本上实现了简单的基于Java平台的JSP电子商务设计(电脑购物B2C模式的电子商务)。 ②.程序设计的需求分析 本电子商务总体基于Java,利用服务器端动态网页开发的JSP技术,辅以客户端动态网页开发的Javascript技术,主要设计实现以下功能: 1.前台销售,包括以下内容: 1)用户注册: 显示注册界面,通过Javascript技术判断用户填写的注册信息是否规X,用户名是否已经存在、填写的密码是否一致、Email是否为正确格式等等。用户确认所填注册信息并通过验证后,将注册信息添加到数据库中的userInfo表中。 2)用户登录 用户输入用户名和密码后,检验所输入的用户名和密码是否与数据库中的用户名和密码一

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字信号处理课程设计报告

抽样定理的应用 摘要 抽样定理表示为若频带宽度有限的,要从抽样信号中无失真地恢复原信号,抽样频率应大于2倍信号最高频率。抽样频率小于2倍频谱最高频率时,信号的频谱有混叠。抽样频率大于2倍频谱最高频率时,信号的频谱无混叠。 语音信号处理是研究用数字信号处理技术和语音学知识对语音 信号进行处理的新兴学科,是目前发展最为迅速的学科之一,通过语音传递信息是人类最重要,最有效,最常用和最方便的交换信息手段,所以对其的研究更显得尤为重要。 Matlab语言是一种数据分析和处理功能十分强大的计算机应用 软件,它可以将声音文件变换成离散的数据文件,然后用起强大的矩阵运算能力处理数据。这为我们的本次设计提供了强大并良好的环境! 本设计要求通过利用matlab对模拟信号和语音信号进行抽样,通过傅里叶变换转换到频域,观察波形并进行分析。 关键词:抽样Matlab

目录 一、设计目的: (2) 二、设计原理: (2) 1、抽样定理 (2) 2、MATLAB简介 (2) 3、语音信号 (3) 4、Stem函数绘图 (3) 三、设计内容: (4) 1、已知g1(t)=cos(6πt),g2(t)=cos(14πt),g3(t)=cos(26πt),以抽样频率 fsam=10Hz对上述三个信号进行抽样。在同一张图上画出g1(t),g2(t),g3(t)及其抽样点,对所得结果进行讨论。 (4) 2、选取三段不同的语音信号,并选取适合的同一抽样频率对其进 行抽样,画出抽样前后的图形,并进行比较,播放抽样前后的语音。 (6) 3、选取合适的点数,对抽样后的三段语音信号分别做DFT,画图 并比较。 (10) 四、总结 (12) 五、参考文献 (13)

Oracle数据库课程设计报告

课程设计报告书

目录 第1章引言 (3) 第2章概要设计 (5) 2.1系统需求分析 (5) 2.2系统结构设计 (5) 2.3系统功能模块 (6) 第3章数据库分析 (7) 3.1 数据库总体设计 (7) 3.2 数据表设计 (7) 3.3 数据库的创建 (8) 3.4存储过程和触发器 (10) 第4章详细设计及测试 (12) 4.1 系统界面 (12) 4.2 主要代码设计 (15) 4.3 功能整体链接测试 (18) 第5章课程设计心得 (19)

第1章引言 1.设计目的 使用VC,C++,C#等作为前台开发工具,使用Oracle作为后台数据库,所设计的管理系统应包含输入输出、查询、插入、修改、删除等基本功能。根据题目的基本需求,设计系统界面、数据库、编写程序(Oracle),并写出课程设计报告 1、阅读资料:每个人必须提前阅读教材有关Oracle、VC、C++、C#应用方面的内容以及其它相关书籍。 2、需求分析:题目要求达到的功能,所提供的原始数据,需要输出的数据及样式等。 3、数据库的设计:根据要求设计数据库的结构,包括:表、数据完整性、关系、视图。 4、数据库的安全性设计:登录用户、数据库用户、数据库角色、命令许可等方面 涉及到数据的所有操作要求采用存储过程的方式进行。 2.设计要求 1.选好题目:先分组,每组两个人(或单独完成),必须确保每题有两组人员选做,班长将本班同学的选题情况汇总后于16周之前交。 2.独立思考,独立完成:课程设计中各任务的设计和调试要求独立完成,遇到问题可以讨论,但不可以拷贝,否则不管是抄袭还是被抄袭,雷同的全部直接评定为不及格。 3.做好上机准备:每次上机前,要事先编制好准备调试的程序,认真想好调试步骤和有关环境的设置方法,准备好有关的文件。 4.根据编程实现的结果,按课程设计报告的撰写规范完成数据库系统课程设计报告(课程设计报告中必须有相关原理分析、程序设计、程序实现和程序调试等内容);课程设计报告的具体要求如下: 1)课设报告按照规定用A4纸张进行排版打印,否则要求返工; 2)课设报告的内容顺序如下:封面—任务书—中文摘要—目录—正文—附录; 3)正文不少于4000字,正文部分至少包含以下内容,并可大致作如下安排 1.引言(包括设计目的、要求、设计环境、同组人员及分工等内容)

数字图像处理课程设计报告

课程设计报告书课程名称:数字图像处理 题目:数字图像处理的傅里叶变换 学生姓名: 专业:计算机科学与技术 班别:计科本101班 学号: 指导老师: 日期: 2013 年 06 月 20 日

数字图像处理的傅里叶变换 1.课程设计目的和意义 (1)了解图像变换的意义和手段 (2)熟悉傅里叶变换的基本性质 (3)热练掌握FFT的方法反应用 (4)通过本实验掌握利用MATLAB编程实现数字图像的傅里叶变换 通过本次课程设计,掌握如何学习一门语言,如何进行资料查阅搜集,如何自己解决问题等方法,养成良好的学习习惯。扩展理论知识,培养综合设计能力。 2.课程设计内容 (1)熟悉并掌握傅立叶变换 (2)了解傅立叶变换在图像处理中的应用 (3)通过实验了解二维频谱的分布特点 (4)用MATLAB实现傅立叶变换仿真 3.课程设计背景与基本原理 傅里叶变换是可分离和正交变换中的一个特例,对图像的傅里叶变换将图像从图像空间变换到频率空间,从而可利用傅里叶频谱特性进行图像处理。从20世纪60年代傅里叶变换的快速算法提出来以后,傅里叶变换在信号处理和图像处理中都得到了广泛的使用。 3.1课程设计背景 数字图像处理(Digital Image Processing)又称为计算机图像处理,它是指将图像信号转换成数字信号并利用计算机对其进行处理的过程。是通过计算机对图像进行去除噪声、增强、复原、分割、提取特征等处理的方法和技术。 3.2 傅里叶变换 (1)应用傅里叶变换进行数字图像处理 数字图像处理(digital image processing)是用计算机对图像信息进行处理的一门技术,使利用计算机对图像进行各种处理的技术和方法。 20世纪20年代,图像处理首次得到应用。20世纪60年代中期,随电子计算机的发展得到普遍应用。60年代末,图像处理技术不断完善,逐渐成为一个新兴的学科。利用数字图像处理主要是为了修改图形,改善图像质量,或是从图像中提起有效信息,还有利用数字图像处理可以对图像进行体积压缩,便于传输和保存。数字图像处理主要研究以下内容:傅立叶变换、小波变换等各种图像变换;对图像进行编码和压缩;采用各种方法对图像进行复原和增强;对图像进行分割、描述和识别等。随着技术的发展,数字图像处理主要应用于通讯技术、宇宙探索遥感技术和生物工程等领域。

数字电路课程设计

数字电路课程设计 一、概述 任务:通过解决一两个实际问题,巩固和加深在课程教学中所学到的知识和实验技能,基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力,为今后从事生产和科研工作打下一定的基础。为毕业设计和今后从事电子技术方面的工作打下基础。 设计环节:根据题目拟定性能指标,电路的预设计,实验,修改设计。 衡量设计的标准:工作稳定可靠,能达到所要求的性能指标,并留有适当的裕量;电路简单、成本低;功耗低;所采用的元器件的品种少、体积小并且货源充足;便于生产、测试和维修。 二、常用的电子电路的一般设计方法 常用的电子电路的一般设计方法是:选择总体方案,设计单元电路,选择元器件,计算参数,审图,实验(包括修改测试性能),画出总体电路图。 1.总体方案的选择 设计电路的第一步就是选择总体方案。所谓总体方案是根据所提出的任务、要求和性能指标,用具有一定功能的若干单元电路组成一个整体,来实现各项功能,满足设计题目提出的要求和技术指标。 由于符合要求的总体方案往往不止一个,应当针对任务、要求和条件,查阅有关资料,以广开思路,提出若干不同的方案,然后仔细分析每个方案的可行性和优缺点,加以比较,从中取优。在选择过程中,常用框图表示各种方案的基本原理。框图一般不必画得太详细,只要说明基本原理就可以了,但有些关键部分一定要画清楚,必要时尚需画出具体电路来加以分析。 2.单元电路的设计 在确定了总体方案、画出详细框图之后,便可进行单元电路设计。 (1)根据设计要求和已选定的总体方案的原理框图,确定对各单元电路的设计要求,必要时应详细拟定主要单元电路的性能指标,应注意各单元电路的相互配合,要尽量少用或不用电平转换之类的接口电路,以简化电路结构、降低成本。

数字信号课程设计报告

一、语音信号去噪处理 1.设计要求: (1)在windows系统下的录音机录制一段1s左右的语音信号作为原声信号,在MATLAB软件平台下,利用函数wavread对语音信号进行采样,记住采样频率和采样点数; (2)画出语音信号的时域波形,对采样后的语音进行fft变换,得到信号的频谱特性;对语音信号分别加入正弦噪声和白噪声,画出加噪信号的时域波形和频谱图; (3)根据对加噪语音信号谱分析结果,确定滤除噪声滤波器的技术指标,设计合适的数字滤波器,并画出滤波器的频域响应; (4)用所设计的滤波器对加噪的信号进行滤波,在同一个窗口画出滤波前后信号的时域图和频谱图,对滤波前后的信号进行对比,分析信号变化; (5)利用sound(x)回放语音信号,验证设计效果。 2.设计步骤: (1)找到7s的语音信号,利用函数wavread对语音信号进行信号读取;(2)计算样本时刻和频谱图的频率,并进行N+1点FFT变换; (3)加噪声为5000Hz的正弦信号正弦噪声,采用awgn函数加信噪比为10的高斯白噪声; (4)设计滤波器; (5)绘出相应的时域、频域图; (6)利用sound函数进行原始信号的语音播放,加噪声音播放,以及滤波之后的语言播放。 3.设计实现: (1)时域图与频谱图(加正弦) 录入原始信号的时域图: 加入正弦信号后的时域图:

滤波后的时域图: 录入原始信号的频域图: 加入正弦信号后的频率图: 滤波后的频域图: 采用巴斯低通滤波器滤除正弦波:

(2)具体代码实现: [x,fs,bits]=wavread('E:\mcpass.wav');%原信号 n=size(x,1); %提取采样信号的长度 t=(0:length(x)-1)/fs; %计算样本时刻 f=fs*(0:(n+1)/2-1)/n+1; %计算频域图的频率 X=fft(x,n+1); %进行N+1点FFT变换 ts=0:1/fs:(size(x)-1)/fs; %将所加噪声信号的点数调整到与原始信号相同 s=x+0.05*sin(2*pi*5000*ts)'; %加噪声为5000Hz的正弦信号正弦噪声 S=fft(s,n+1); %加正弦噪声后的频域 %正弦滤波 wp=2000/fs*2*pi; %2000为通带截止频率 ws=3000/fs*2*pi; %3000为阻带下限截止频率 Rp=4; %通带波纹 Rs=25; %阻带波纹 T=1/fs;Fs=1/T; %定义采样间隔 Wp=2/T*tan(wp/2); %计算对应的数字频率 Ws=2/T*tan(ws/2); [N,wn]=buttord(Wp,Ws,Rp,Rs,'s'); %计算滤波器介数和截止频率 [c,d]=butter(N,wn,'s'); %计算滤波器系统函数分子分母系数 [B,A]=bilinear(c,d,Fs); %双线性变换得到数字滤波器系统函数分子分母系数[Hb,Wc]=freqz(B,A); sf=filter(B,A,s); %对加噪信号进行滤波 Sf=fft(sf,n+1); %对滤波后进行N+1点FFT变换 %绘图部分 figure(3); plot(fs*Wc/(2*pi),20*log10(abs(Hb)));title('巴斯低通滤波器频域响应图'); xlabel('频率(Hz)'); ylabel('幅度'); figure(1); subplot(3,1,1); plot(t,x);title('原信号时域') xlabel('时间(s)'); ylabel('幅度'); figure(2); subplot(3,1,1); plot(f,abs(X(1:(n+1)/2)));title('原信号频域') xlabel('频率(Hz)'); ylabel('幅度'); figure(1); subplot(3,1,2); plot(t,s);title('加正弦信号后的时域') xlabel('时间(s)'); ylabel('幅度');

数据库课程设计报告

数据库课程设计 --JIA服装销售系统 指导老师:索剑 系名:计算机科学系 学号:111405128 姓名:薛文科 班级:11计算机1班

目录 第一章绪论 (3) 1.1课题简介 (3) 1.2设计目的 (3) 1.3设计内容 (3) 1.4系统实验要求 (3) 第二章需求分析 (3) 2.1 系统基本功能 (3) 2.2 权限划分 (4) 2.3 系统运作流程 (4) 2.4 数据字典 (5) 第三章概念结构设计 (7) 3.1 概念结构设计的方法与步骤 (7) 3.1.1 概念结构设计的方法 (7) 3.1.2概念结构设计的步骤 (7) 3.2 数据抽象与局部视图设计 (8) 3.3视图的集成 (9) 第四章逻辑结构设计 (10) 4.1 E-R图向关系模型的转换 (10) 4.2数据模型的优化 (11) 4.3 数据库的结构 (11) 第五章数据库物理设计 (11) 5.1 存储结构设计 (11) 5.2 存储路径设计 (11) 5.3数据存放位置 (11) 第六章数据库的实施 (12) 6.1表的建立与数据的载入 (12) 6.2触发器的设计 (12) 第七章系统效果图 (13) 第八章总结 (15)

第一章绪论 1.1课题简介 随着时代的发展,计算系软件和系统的成熟,服装的销售管理对于服装企业是一个很重要的问题,如何能有效的管理好自己企业销售的服装和统计出比较收欢迎的服装对于企业的盈利起着至关重要的作用,而建立一个服装销售系统就是一个很好的办法。本着理论联系实际的宗旨,通过学校提供的这次课程设计实践的机会,在指导教师的帮助下,历经两周时间,我自行设计一套服装销售系统,在下面的各章中,我将以这服装销售为例,谈谈其开发过程和所涉及到的问题。 1.2设计目的 应用对数据库系统原理的理论学习,通过上机实践的方式将理论知识与实践更好的结合起来,巩固所学知识。 实践和巩固在课堂教学中学习的关于SQL Server的有关知识,熟练掌握对于给定结构的数据库的创建、基本操作、程序系统的建立和调试以及系统评价。 实践和巩固在课堂教学中学习的关于关系数据库原理的有关知识和数据库系统的建立方法,熟练掌握对于给定实际问题,为了建立一个关系数据库信息管理系统,必须得经过系统调研、需求分析、概念设计、逻辑设计、物理设计、系统调试、维护以及系统评价的一般过程,为毕业设计打下基础。 1.3设计内容 选择课题并且对课题的相关信息有一定的了解,对于我选的课题来说,我必须了解服装销售的构造以及企业管理的信息。通过这些信息制成表格,输入到数据库中,使之能够进行查询、修改、删除并且与报刊订阅系统执行相同的操作。需求分析阶段就是要研究我所作的服装销售系统的具体分类和实施过程流图。概念设计阶段要完成数据抽象与局部视图设计还有视图的集成。逻辑结构设计阶段要把E-R图转化为关系模式并且把我输入的六张表结合在一起完成一个总关系表。最后就是要运行和实施数据库。要把查询结果与过程抓几张图。 1.4系统实验要求 建立两个用户:管理员,经理 管理员:负责进行库存的查询,客户的查询,生成出库单和入库单。 经理:负责审核通过出库单和入库单。 第二章需求分析 2.1 系统基本功能 本系统有以下的功能模块: (1)登录功能:登录系统为身份验证登录。分为管理员和经理。不同的用户对于系统有不同的操作权限。 (2)客户管理功能:对客户的基本信息进行管理,可以对客户的信息进行增,删,查,改。(3)库存的查询功能:可以查看库存里面衣服的详细信息。 (4)货物出库功能对库存里面的衣服进行出库 (5)货物入库功能:对库存里面的衣服进行增加

程控交换课程设计报告

课程设计报告 课程设计名称:程控交换与通信网系:三系 学生姓名: 班级:11通信工程1班学号: 成绩: 指导教师:江晓明 开课时间:2014-2015学年第一学期

第一天 老师通过现场设备讲解,让我们理解C&C08交换机的构造和基本工作原理。 一、认识实验平台 CC08交换机是采用全数字三级控制方式。语音信号在整个过程中在实现全数字化。同时为满足对模拟信号认识的要求,也可以根据用户需要配置模拟中继板。本实验平台由如下六大部分组成:BAM后管理服务器、主控框、时钟框、中继框、用户框、实验用终端。 二、认识单板 C&C08MPU主处理机板 C&C08MPU是B型机平台所用的主控板,主控板是SM模块的核心控制部件,主要用于处理SM模块的各种业务,完成对主控框内其它单板的控制。 三、认识软件 C&C08的软件结构 C&C08的软件系统主要由主机(前台)软件和终端OAM(后台)软件两大部分构成, 主机软件是指运行于交换机主处理机的软件,它采用自顶而下和分层模块化的程序设计思想,主要由操作系统、通信处理模块、资源管理模块、呼叫处理模块、信令处理模块、数据库管理模块、维护管理模块等七部分组成。其中,操作系统为主机软件的内核,属系统级程序;其他软件模块则为基于操作系统之上的应用级程序。 若从虚拟机的概念出发,可将C&C08的主机软件分为多个级别,较低级别的软件模块同硬件平台相关联,较高级别的软件模块则独立于具体的硬件环境,各软件模块之间的通信由操作系统中的消息包管理程序负责完成。整个主机软件的层次结构如下图1-1所示。

图1-1 四.电话跟踪 点击“维护”-》“跟踪”-》“接续动态跟踪”,跟踪事例如下:1.电话为5550023呼叫5550022 图1-2接续动态跟踪(一)

数字电子技术课程设计报告(数字钟)

目录 一.设计目的 (1) 二.实现功能 (1) 三.制作过程 (1) 四.原理框图 (3) 4.1 数字钟构成 (3) 4 .2设计脉冲源 (4) 4.3 设计整形电路 (5) 4.4 设计分频器 (5) 4.5 实际计数器 (6) 4.6 译码/驱动器电路的设计 (7) 4.7 校时电路 (8) 4.8 整点报时电路 (9) 4.9 绘制总体电路图 (10) 五.具体实现 (10) 5.1电路的选择 (10) 5.2集成电路的基本功能 (10) 5.3 电路原理 (11) 六.感想与收获 (12) 七.附录 (14)

数字电子技术课程设计报告 一、设计目的 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。 石英数字钟,具有电路简洁,代表性好,实用性强等优点,在数字钟的制作中,我们采用了传统的PCMS大规模集成电路为核心,配上LED发光显示屏,用石英晶体做稳频元件,准确又方便。 二、实现功能 ①时间以12小时为一个周期; ②显示时、分、秒; ③具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; ④计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;

数据库课程设计报告

数据库课程设计教学管理系统

前言 (4) 前言 (4) 相关技术介绍 (4) 第一章需求分析 (4) 1.1 任务概述 (5) 1.1.1 目标 (5) 1.1.2 运行环境 (5) 1.2 数据流图 (5) 1.3 数据字典 (6) 1.4 系统流程分析 (6) 第二章概念结构设计 (7) 第三章逻辑结构设计 (8) 3.1 逻辑结构设计 (8) 3.2 规范化处理 (10) 第四章数据库物理设计 (11) 4.1 索引表 (10) 4.2 系统配置 (11) 4.3 视图 (11) 第五章数据库的实施 (11) 5.1 创建数据库及数据库对象 (11) 5.2 完整性约束创建 (13) 5.3 数据库的维护及备份 (14) 5.3.1 维护 (14) 5.3.2 检测并改善数据库性能 (14) 5.3.3 备份 (14) 第六章前台用户界面 (14) 第七章结论与体会 (17) 参考文献

0、前言 0.1引言 数据库作为存取数据并对数据进行操作的工具在系统中所起到的作用至关重要。数据库设计是指对于一个给定的应用环境,构造优化的数据库逻辑模式和物理模式结果,并据此建立数据库及其应用系统,使之能有效地存储和管理数据,满足应用需求,包括信息管理要求和数据操作。信息管理要求是指在数据库中应该存储和管理哪些数据对象;数据操作要求是指对数据对象进行哪些操作,如查询、增、删、改、统计等操作。数据库设计地目标是维用户和各种应用系统提供的一个信息基础设施和高效率地运行环境。高效率的运行环境包括:数据库数据的存取速率、数据库存储空间的利用率、数据库系统运行管理的效率等都是高的。 为了使数据库的应用系统开发设计合理、规范、有序、正确、高效进行,现在广泛采用的是工程化6阶段开发设计过程与方法,它们是需求分析阶段、概念结构设计阶段、逻辑结构设计阶段、物理结构设计阶段、数据库实施、数据库系统运行与维护阶段。我按照以上几点开发了学生选课管理系统数据库。 0.2相关技术介绍 0.2.1MYSQL概述 MySQL是一个关系型数据库管理系统,由瑞典MySQL AB 公司开发,目前属于Oracle 旗下产品。MySQL 最流行的关系型数据库管理系统,在WEB 应用方面MySQL 是最好的RDBMS (Relational Database Management System,关系数据库管理系统) 应用软件之一。 MySQL是一种关联数据库管理系统,关联数据库将数据保存在不同的表中,而不

相关主题
文本预览
相关文档 最新文档