当前位置:文档之家› 数字电路与微处理器基础实验

数字电路与微处理器基础实验

数字电路与微处理器基础实验
数字电路与微处理器基础实验

数字电路与微处理器基础实验

实验1 单片机开发系统的使用

一.实验目的:

1. 熟悉实验环境。

2. 熟练掌握程序编写、调试、下载和运行的基本方法。

3. 掌握单片机I/O端口的控制和简单应用技术。

4. 掌握移位和软件延时程序的编写和应用。

二.实验设备:

1. PC机一台;

2. 51单片机实验装置一套。

三.实验内容:

1. 利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从左到右依次点亮,反复显示。发光二极管的闪烁时间由延时函数控制。(流水灯编号从左到右依次为L1—L8)

2. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P

3.0—P3.7接8个发光二极管,运行程序,则单片机控制高四位和第四位的发光二极管交替显示。

3. 利用单片机及8个发光二极管等器件,制作一个单片机控制的发光二极管显示系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制奇数位和偶数位的发光二极管交替显示。

4. 选作题:利用单片机及8个发光二极管等器件,制作一个单片机控制的流水灯系统。单片机的P3.0—P3.7接8个发光二极管,运行程序,则单片机控制8个发光二极管进行流水灯操作,流水灯从右到左依次点亮,反复显示。

5. 选作题:按照下图改变流水灯的方式,编程实现。或者按照自己的设计,改变流水灯的方式,并编程实现。

四.实验报告要求:

1.写明实验名称,实验目的;

2.列出实验仪器名称、型号;

3.简述实验原理,画出本实验相关的电路原理图;

4.编程序清单(注释语句),调试结果及说明;

5. 实验分析和实验体会。

6. 注意实验报告格式,独立完成,避免雷同;

7. A4纸排版,左侧装订。并认真填写实验报告封皮。

实验2 定时器中断实验

一.实验目的:

1. 掌握单片机定时器/计数器的工作方式及编程应用;

2. 掌握单片机定时器/计数器的定时计数模式的使用及编程;

3. 掌握定时器/计数器典型应用电路的连接方法;

4. 理解掌握中断源、中断请求、中断标志和中断入口等概念;掌握中断程序的设计方法;

5. 进一步增强微处理器的编程技术。

二.实验设备:

1. PC机一台;

2. 51单片机实验装置一套。

三.实验内容:

1. 编写程序,按键K1与单片机外部中断0相接,每次按键使外部中断0产生中断,在中断服务程序中使P1.0外接发光二极管LED改变一次亮灭状态。

2. 用51单片机的P1口接8个LED发光二极管,INT0接按键开关。初始状态,与P1.0相接的LED亮,以后由INT0按键每中断一次,下一个LED亮,顺序下移,且每次只一个LED亮,周而复始。

3. 在51单片机的P1口上接有8只LED,采用定时器T0方式1的定时中断模式,使P1口外接的8只LED每秒闪亮一次。(500ms亮,500ms暗)

为定时器T0设定时间为5ms,则计数初始值为十进制的(),十六进制表示为(),TH0装入(),TL0装入为()。

思考题:T0的定时时间是5ms,如何实现题目中要求的500ms亮暗一次。

4. 选作:在51单片机的P1口上接数码管,采用定时器T0方式1的定时中断方式,使P1口外接的数码管每秒计数一次(0—9计数)。

四.实验报告要求:

1.写明实验名称,实验目的;

2.列出实验仪器名称、型号;

3.简述实验原理,画出本实验相关的电路原理图;

4.编程序清单(注释语句),调试结果及说明;

5. 实验分析和实验体会。

6. 注意实验报告格式,独立完成,避免雷同;

7. A4纸排版,左侧装订。并认真填写实验报告封皮。

实验3 单片机交通灯控制器实验

一. 实验目的:

1. 进一步熟悉和掌握单片机的结构和工作原理。

2. 掌握单片机的接口电路特性和控制方法。

3.掌握单片机核心电路设计的基本方法和技术。

4. 通过实际程序设计和调试,逐步掌握模块化程序设计方法和调试技术。二.实验设备:

1. PC机一台;

2. 51单片机实验装置一套。

三.实验内容:

1. 模拟一个交通灯路口。假设一个十字路口为东西南北走向。初始状态为0,东西和南北方向红灯亮。状态1-状态4循环工作,流程图如图所示。黄灯闪烁时间为12s,亮暗交替三次。

接线:P1.0—P1.2接东西方向的红黄绿灯,P1.3—P1.5接南北方向的红黄绿灯。

2. 选作:控制过程同上,当有救护车通过时要求4个路口红灯全亮。接按键开关,当救护车到来时,外部中断0向CPU产生中断请求。中断服务程序流程如下。

3. 选作:控制过程同1,红灯或者绿灯亮时,利用数码管倒计时显示时间。思考题:

(1)在本次实验中用到多个延时程序,是否需要写多个延时子程序?

(2)51单片机有几个中断源?外部中断请求0的中断服务程序入口地址是多少?

四.实验报告要求:

1.写明实验名称,实验目的;

2.列出实验仪器名称、型号;

3.简述实验原理,画出本实验相关的电路原理图;

4.编程序清单(注释语句),调试结果及说明;

5. 实验分析和实验体会。

6. 注意实验报告格式,独立完成,避免雷同;

7. A4纸排版,左侧装订。并认真填写实验报告封皮。

实验4 单片机串行通信

一.实验目的:

1. 掌握全双工串行通信的工作原理;

2. 掌握单片机串行通信的工作方式及编程应用;

3. 掌握串行通信典型应用电路的连接方法;

4. 进一步增强对微处理器的综合编程控制能力。

二.实验设备:

1. PC机一台;

2. 51单片机实验装置一套。

三.实验内容:

1. 单片机与PC串行通信

(1)单片机向PC发送数据

要求单片机通过串行口的TXD向计算机串行发送数据,PC机接收的数据用串口助手显示。

(2)单片机接收PC发送的数据

单片机接收PC发送的数据,并把接收到的数据送P1口的8位LED显示。

2. 单片机甲、乙双机串行通信。甲机P1口的P1.0—P1.7接8个开关K1-K8,乙机P1口的P1.0—P1.7接8个发光二极管D1-D8。要求甲机读入P1口的8个开关的状态后,通过串行口发送到乙机,乙机将接收到的甲机的8个开关的状态数据送入P1口,由P1口的8个发光二极管来显示8个开关的状态。双方波特率设置为2400b/s,晶振均采用11.0592MHz。要求串口工作在方式1,波特率由定时器T1控制。

思考题:

(1)若波特率为2400b/s,双方晶振均采用11.0592MHz,要求串口工作在方式1,波特率由定时器T1控制(T1工作在方式2),则向定时器1写入的计数初值为()H。

(2)51单片机串行口的输入、输出均为TTL电平。这种以TTL电平来串行传输数据,其抗干扰性差,传输距离短,传输速率低。为了提高串行通信的可靠性,增大串行通信的距离和提高传输速率,在实际的串行通信设计中都采用标准串行接口,如RS-232、RS-422和RS-485。分别说明RS-232、RS-422和RS-485标准的最

大传输距离是多少?

3. 单片机甲、乙双机串行通信。甲机P1口的P1.0—P1.7接8个开关K1-K8,乙机P1口的P1.0—P1.7接数码管。要求甲机读入P1口的8个开关的状态后,通过串行口发送到乙机,乙机将根据接收到的甲机的8个开关的状态数据控制P1口,甲机按下第几个开关,乙机就显示对应的数字。双方波特率设置为2400b/s,晶振均采用11.0592MHz。要求串口工作在方式1,波特率由定时器T1控制。

四.实验报告要求:

1.写明实验名称,实验目的;

2.列出实验仪器名称、型号;

3.简述实验原理,画出本实验相关的电路原理图;

4.编程序清单(注释语句),调试结果及说明;

5. 实验分析和实验体会。

6. 注意实验报告格式,独立完成,避免雷同;

7. A4纸排版,左侧装订。并认真填写实验报告封皮。

数字电路试验指导书

第一篇数字电路实验指导书 实验一集成逻辑门的功能测试与数字箱的使用 一、实验目的 1、了解数字实验箱的原理,掌握其使用方法 2、掌握基本门电路逻辑功能的测试方法 3、了解TTL和CMOS器件的使用特点 二、实验一起及实验器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件: 74LS00 1片CD4001 1片 74LS86 1片CD4011 1片 三、实验任务 (一)数字实验箱的使用 1、用500型万用表分别测出固定直流稳压源的出去电压值 2、用500型万用表分别测出十六路高低电平信号源和单次脉冲信号源的高低电平值,并观 察单次脉冲前后沿的变化 3、用示波器测出连续冲源的频率范围及幅度Vp-p值 4、分别用十六路高低电平信号源:单次脉冲信号源检查十六路高低电平指示灯的好坏(二)集成逻辑门的功能测试 1、分别写出74LS00,74LS86,CD4001,CD4011 1的逻辑表达式,列出其真表值,并分别 对其逻辑功能进行静态测试 2、用74LS00完成下列逻辑功能,分别写出逻辑表达式,画出逻辑图,测试其功能。 四、预习要求 1、复习数字试验箱的组成和工作原理 2、复习TTl和CMOS电路的命名,分别及使用规则 3、认真查阅实验器件的功能表和管脚图 4、列出实验任务的记录数据表格,写出实验的方法、步骤,画出实验电路 实验二集成逻辑门的参数测试 一、实验目的 1、熟悉集成逻辑门主要参数的意义 2、掌握集成逻辑门主要参数的测试方法 3、了解TTL器件和CMOS器件的使用特点 二、实验仪器与器件 1、数字实验箱 2、20MHz双踪示波器 3、500型万用表 4、实验器件:

数字电路基础实验2

数字电子电路的基础实验 实验2 数据选择器及其应用 一、实验目的 1、掌握中规模集成数据选择器的逻辑功能及使用方法; 2、掌握用数据选择器构成组合逻辑电路的方法。 二、实验原理 数据选择器又叫“多路开关”。数据选择器在地址码(或叫选择控制)电位的控制下,从几个数据输入中选择一个并将其送到一个公共的输出端。数据选择器的功能类似一个多掷开关,如图4.22所示,图中有四路数据D0~D3,通过选择控制信号 A1、A0(地址码)从四路数据中选中某一路数据送至输出端Q。数据选择器为目前逻辑设计中应用十分广泛的逻辑部件,它有2选1、4选1、8选1、16选1等类别。数据选择器的电路结构一般由与或门阵列组成,也有用传输门开关和门电路混合而成的。 1、八选一数据选择器74HC151 图4.22 4选1数据选择器示意图图4.23 74LS151引脚排列 表4.12 八选一数据选择器74HC151的功能表 74HC151为互补输出的8选1数据选择器,引脚排列如图4.23所示,功能如表4.12所示。选择控制端(地址端)为A2~A0,按二进制译码,从8个输入数据D0~D7中,选择 一个需要的数据送到输出端Q,S为使能端,低电平有效。 1)使能端S=1时,不论A2~A0状态如何,均无输出(Q=0,Q=1),多路开关被禁止。2)、使能端S=0时,多路开关正常工作,根据地址码A2、A1、A0的状态选择D0~D7中某

一个通道的数据输送到输出端Q 。 如:A 2A 1A 0=000,则选择D 0数据到输出端,即Q =D 0。 如:A 2A 1A 0=001,则选择D 1数据到输出端,即Q =D 1,其余类推。 2、双四选一数据选择器 74HC153 双4选1数据选择器74HC153就是在一块集成芯片上有两个4选1数据选择器。引脚排列如图4.24所示,功能如表4.13所示。 表4.13 双四选一数据选择器74HC153的功能表 图4.24 74H C153引脚功能 、2为两个独立的使能端;A 1、A 0为公用的地址输入端;1D 0~1D 3和2D 0~2D 3分别为两个4选1数据选择器的数据输入端;Q 1、Q 2为两个输出端。 1)当使能端S 1(S 2)=1时,多路开关被禁止,无输出,Q =0。 2)当使能端S 1(S 2)=0时,多路开关正常工作,根据地址码A 1、A 0的状态,将相应的数据D 0~D 3送到输出端Q 。 如:A 1A 0=00 则选择D O 数据到输出端,即Q =D 0。 A 1A 0=01 则选择D 1数据到输出端,即Q =D 1,其余类推。 数据选择器的用途很多,例如多通道传输,数码比较,并行码变串行码,以及实现逻辑函数等。 3、数据选择器的应用—实现逻辑函数 例4.1:用8选1数据选择器74HC151实现函数: C B C A B A F ++= 采用8选1数据选择器74HC151可实现任意三输入变量的组合逻辑函数。作出函数F 的功能表,如表4.14所示,将函数F 功能表与8选1数据选择器的功能表相比较,可知 (1)将输入变量C 、B 、A 作为8选1数据选择器的地址码A 2、A 1、A 0。 (2)使8选1数据选择器的各数据输入D 0~D 7分别与函数F 的输出值一一相对应。 即:A 2A 1A 0=CBA , D 0=D 7=0 D 1=D 2=D 3=D 4=D 5=D 6=1 则8选1数据选择器74HC151的输出Q 便实现了函数 C B C A B A F ++=。接线图如图4.25所示。显然,采用具有n 个地址端的数据选择实现n 变量的逻辑函数时, 应将函数的输入变量加到数据选择器的地址端(A),选择器的数据输入端(D )按次序以函数F 输出值来赋值。

数字电子技术实验报告

专业: 班级: 学号: 姓名: 指导教师: 电气学院

实验一集成门电路逻辑功能测试 一、实验目的 1. 验证常用集成门电路的逻辑功能; 2. 熟悉各种门电路的逻辑符号; 3. 熟悉TTL集成电路的特点,使用规则和使用方法。 二、实验设备及器件 1. 数字电路实验箱 2. 万用表 3. 74LS00四2输入与非门1片74LS86四2输入异或门1片 74LS11三3输入与门1片74LS32四2输入或门1片 74LS04反相器1片 三、实验原理 集成逻辑门电路是最简单,最基本的数字集成元件,目前已有种类齐全集成门电路。TTL集成电路由于工作速度高,输出幅度大,种类多,不宜损坏等特点而得到广泛使用,特别对学生进行实验论证,选用TTL电路较合适,因此这里使用了74LS系列的TTL成路,它的电源电压为5V+10%,逻辑高电平“1”时>2.4V,低电平“0”时<0.4V。实验使用的集成电路都采用的是双列直插式封装形式,其管脚的识别方法为:将集成块的正面(印有集成电路型号标记面)对着使用者,集成电路上的标识凹口左,左下角第一脚为1脚,按逆时针方向顺序排布其管脚。 四、实验内容 ㈠根据接线图连接,测试各门电路逻辑功能 1. 利用Multisim画出以74LS11为测试器件的与门逻辑功能仿真图如下

按表1—1要求用开关改变输入端A,B,C的状态,借助指示灯观测各相应输出端F的状态,当电平指示灯亮时记为1,灭时记为0,把测试结果填入表1—1中。 表1-1 74LS11逻辑功能表 输入状态输出状态 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 悬空 1 1 1 悬空0 0 0 2. 利用Multisim画出以74LS32为测试器件的或门逻辑功能仿真图如下

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电路实验指导书2016

***************************************************** ***************************************************** *********************************************** 数字电路 实验指导书 广东技术师范学院天河学院电气工程系

目录 实验系统概术 (3) 一、主要技术性能 (3) 二、数字电路实验系统基本组成 (4) 三、使用方法 (12) 四、故障排除 (13) 五、基本实验部分 (14) 实验一门电路逻辑功能及测试 (14) 实验二组合逻辑电路(半加器全加器及逻辑运算) (18) 实验三译码器和数据选择器 (43) 实验四触发器(一)R-S,D,J-K (22) 实验五时序电路测试及研究 (28) 实验六集成计数器161(设计) (30) 实验七555时基电路(综合) (33) 实验八四路优先判决电路(综合) (43) 附录一DSG-5B型面板图 (45) 附录二DSG-5D3型面板图 (47) 附录三常用基本逻辑单元国际符号与非国际符号对照表 (48) 附录四半导体集成电路型号命名法 (51) 附录五集成电路引脚图 (54)

实验系统概述 本实验系统是根据目前我国“数字电子技术教学大纲”的要求,配合各理工科类大专院校学生学习有关“数字基础课程,而研发的新一代实验装置。”配上Lattice公司ispls1032E可完成对复杂逻辑电路进行设计,编译和下载,即可掌握现代数字电子系统的设计方法,跨入EDA 设计的大门。 一、主要技术性能 1、电源:采用高性能、高可靠开关型稳压电源、过载保护及自动恢复功能。 输入:AC220V±10% 输出:DC5V/2A DC±12V/0.5A 2、信号源: (1)单脉冲:有两路单脉冲电路采用消抖动的R-S电路,每按一次按钮开关产生正、负脉冲各一个。 (2)连续脉冲:10路固定频率的方波1Hz、10Hz、100Hz、1KHz、10KHz、100KHz、500KHz、1MHz、5MHz、10MHz。 (3)一路连续可调频率的时钟,输出频率从1KHz~100KHz的可调方波信号。 (4)函数信号发生器 输出波形:方波、三角波、正弦波 频率范围:分四档室2HZ~20HZ、20HZ~200HZ、200HZ~2KHZ、2KHZ~20HZ。 3、16位逻辑电平开关(K0~K15)可输出“0”、“1”电平同时带有电平指示,当开关置“1”电平时,对应的指示灯亮,开关置“0”电平时,对应的指示灯灭,开关状态一目了然。 4、16位电平指示(L0~L15)由红、绿灯各16只LED及驱动电路组成。当正逻辑“1”电平输入时LED红灯点亮,反之LED绿灯点亮。

数字电路实验

实验2 组合逻辑电路(半加器全加器及逻辑运算) 一、实验目的 1.掌握组合逻辑电路的功能测试。 2.验证半加器和全加器的逻辑功能。 3.学会二进制数的运算规律。 二、实验仪器及材料 1.Dais或XK实验仪一台 2.万用表一台 3.器件:74LS00 三输入端四与非门3片 74LS86 三输入端四与或门1片 74LS55 四输入端双与或门1片 三、预习要求 1.预习组合逻辑电路的分析方法。 2.预习用与非门和异或门构成的半加器、全加器的工作原理。 3.学习二进制数的运算。 四、实验内容 1.组合逻辑电路功能测试。 图2-1 ⑴用2片74LS00组成图2-1所示逻辑电路。为便于接线和检查,在图中要注明芯片编号及各引脚对应的编号。 ⑵图中A、B、C接电平开关,Y1、Y2接发光管显示。 ⑶按表2-1要求,改变A、B、C的状态填表并写出Y1、Y2逻辑表达式。 ⑷将运算结果与实验比较。

2.测试用异或门(74LS86)和与非门组成的半加器的逻辑功能。 根据半加器的逻辑表达式可知,半加器Y是A、B的异或,而进位Z是A、B相与,故半加器可用一个集成异或门和二个与非门组成如图2-2。 图2-2 ⑴在实验仪上用异或门和与门接成以上电路。A、B接电平开关S,Y、Z接电平显示。 ⑵按表2-2要求改变A、B状态,填表。 3.测试全加器的逻辑功能。 ⑴写出图2-3电路的逻辑表达式。 ⑵根据逻辑表达式列真值表。 ⑶根据真值表画逻辑函数SiCi的卡诺图。 图2-3 ⑷填写表2-3各点状态。

⑸按原理图选择与非门并接线进行测试,将测试结果记入表2-4,并与上表进行比较看逻辑功能是否一致。 4.测试用异或、与或和非门组成的全加器的逻辑功能。 全加器可以用两个半加器和两个与门一个或门组成,在实验中,常用一块双异或门、一个与或门和一个非门实现。 ⑴画出用异或门、与或非门和与门实现全加器的逻辑电路图,写出逻辑表达式。 ⑵找出异或门、与或非门和与门器件,按自己画出的图接线。接线时注意与或非门中不用的与门输入端接地。 ⑶当输入端Ai、Bi、Ci-1为下列情况时,用万用表测量Si和Ci的电位并将其转为逻辑状态填入表2-5。 五、实验报告 1.整理实验数据、图表并对实验结果进行分析讨论。 2.总结组合逻辑电路的分析方法。 实验3 触发器 一、实验目的 1.熟悉并掌握R-S、D、J-K触发器的构成,工作原理和功能测试方法。 2.学会正确使用触发器集成芯片。 3.了解不同逻辑功能FF相互转换的方法。 二、实验仪器及材料 1.双踪示波器一台 2.Dais或XK实验仪一台 3.器件74LS00 二输入端四与非门1片 74LS74 双D触发器1片 74LS112 双J-K触发器1片 二、实验内容

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电路实验指导书

第一章单元实验 实验一逻辑门电路的研究 一、实验目的: 1.分析“门”的逻辑功能。 2.分析“门”的控制功能。 3.熟悉门电路的逻辑交换及其功能的测试方法。 二、实验使用仪器和器件: 1.数字逻辑电路学习机一台。 2.万用表一块。 三、实验内容和步骤: 1.TTL集成门逻辑功能的测试: ⑴“与非门”逻辑功能的测试: 在学习机上插入74LS10芯片,任选一个三输入端“与非门”按表1完成逻辑功能的测试(输入“1”态可悬空或接5V,“0”态接地)。 表1 ⑵用“与或非”门实现Z=AB+C的逻辑功能: 在学习机上插入74LS54芯片,做Z=AB+C逻辑功能的测试,完成表2的功能测试并记录。

表2 注意:测试前应将与或非门不用的与门组做适当处理。 2.“门”控制功能的测试: ⑴“与非”门控制功能的测试: 按图1接线,设A 为信号输入端,输入单脉冲,B 为控制端接控制逻辑电平“0”或“1”。输出端Z 接发光二极管(LED )进行状态显示,高电平时亮。按表3进行测试,总结“封门”“开门”的规律。 图1 “与非门”控制功能测试电路 表3 ⑵用“与非门”组成下列电路,并测试它们的功能

“或”门:Z=A+B “与”门:Z=AB “或非”门:Z=A+B “与或”门:Z=AB+CD 要求:画出电路图和测试记录表格,并完成逻辑功能的测试,总结控制功能的规律。 四、预习要求: 要求认真阅读实验指导书并完成要求自拟的实验电路和测试记录表格,本实验属于一般验证性实验,学生应对所有测试表的结果可预先填好,实验时只做验证,且可做到胸中有数,防止盲目性,增加自觉性。 五、实验报告要求: 总结“与非”、“与”、“或”、“或非”门的控制功能。 六、思考题: 1.为什么TTL与非门的输入端悬空则相当于输入逻辑“1”电平,CMOS与非门能否这样处理? 2.与或非门不用的与门组如何处理?

数字电路实验指导书

数字电路实验指导书 上海大学精密机械工程系2010年10月

目录 一、概述 二、实验一基本电路逻辑功能实验 三、实验二编码器实验 四、实验三寄存器实验 五、实验四译码器实验 六、实验五比较器实验 七、实验六加法器实验 八、实验七计数器实验 九、附录一数字电路实验基本知识 十、附录二常用实验器件引脚图 十一、附录三实验参考电路 十二、附录四信号定义方法与规则十三、附录五 DS2018实验平台介绍

前言 《数字电路A》课程是机电工程及自动化学院机械工程自动化专业和测控技术与仪器专业的学科基础必修课。课程介绍数字电路及控制系统的基本概念、基本原理和应用技术,使学生在数字电路方面具有一定的理论知识和实践应用能力。该课程是上海大学和上海市教委的重点课程建设项目和上海大学精品课程,课程教学内容和方式主要考虑了机械类专业对电类知识的需求特点,改变了电子专业类(如信息通信、电气自动化专业)这门课比较注重教授理论性和内部电路构成知识的方式,加强应用设计性实验,主要目的是让学生能在理论教学和实验中学会解决简单工程控制问题的基本方法和技巧,能够设计基本的实用逻辑电路。 本书是《数字电路A》的配套实验指导书,使用自行开发的控制系统设计实验箱,所有实验与课堂理论教学相结合,各实验之间相互关联,通过在实验箱上设计构建不同的数字电路功能模块,以验证理论教学中学到的各模块作用以及模块的实际设计方法。在所有功能模块设计结束后,可以将各模块连接在一起,配上输入输出装置,构成一个完整的工程控制系统。 为本课程配套的输入输出装置是颗粒糖果自动灌装控制和一维直线运动控制,颗粒糖果自动灌装系统的框图如下图所示: 颗粒糖果灌装系统框图 本套实验需要设计的功能模块包括:编码器、寄存器、译码器、比较器、加法器、计数器、光电编码器辩向处理电路、步进电机旋转控制环形分配电路等。

数字电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验三基本门电路逻辑功能的测试 一.实验类型——验证性+设计 二.实验目的 1.熟悉主要门电路的逻辑功能; 2.掌握基本门电路逻辑功能的测试方法; 3.会用小规模集成电路设计组合逻辑电路。 三.实验原理 1.集成电路芯片介绍 数字电路实验中所用到的集成芯片多为双列直插式,其引脚排列规则如图1-1。其识别方法是:正对集成电路型号或看标记(左边的缺口或小圆点标记),从左下角开始按逆时针方向以1,2,3…依次排列到最后一脚。在标准形TTL 集成电路中,电源端Vcc一般排在左上端,接地端(GND)一般排在右下端,如74LS00。若集成芯片引脚上的功能标号为NC,则表示该引脚为空脚,与内部电路不连接。本实验采用的芯片是74LS00二输入四与非门、74LS20四输入二与非门、74LS02二输入四或非门、74LS04六非门,逻辑图及外引线排列图见图1-1。 图1-1 逻辑图及外引线排列

2.逻辑表达式: 非门 1-1 2输入端与非门 1-2 4输入端与非门 1-3 或非门 1-4 对于与非门,其输入中任一个为低电平“0”时,输出便为高电平“1”。只有当所有输入都为高电平“1”时,输出才为低电平“0”。对于TTL 逻辑电路,输入端如果悬空可看做;逻辑1,但为防止干扰信号引入,一般不悬空,可将多余的输入端接高电平或者和一个有用输入端连在一起。对MOS 电路输入端不允许悬空。对于或非门,闲置输入端应接地或低电平。 四.实验内容及步骤 1.逻辑功能测试 ①与非门逻辑功能的测试: * 将74LS20插入实验台14P 插座,注意集成块上的标记,不要插错。 * 将集成块Vcc 端与电源+5V 相连,GND 与电源“地”相连。 * 选择其中一个与非门,将其4个输入端A 、B 、C 、D 分别与四个逻辑开关相连,输出端Y 与逻辑笔或逻辑电平显示器相连,如图1-2。根据表1-1中输入端的不同状态组合,分别测出输出端的相应状态,并将结果填入其中。 ②或非门逻辑功能的测试: 表1-1

数字电路实验指导书

数字逻辑电路 实验指导书 师大学计算机系 2017.10 . .

数字逻辑电路实验 Digital Logic Circuits Experiments 一、实验目的要求: 数字逻辑电路实验是计算机科学与技术专业的基础实验,与数字逻辑电路理论课程同步开设(不单独设课),是理论教学的深化和补充,同时又具有较强的实践性,其目的是通过若干实验项目的学习,使学生掌握数字电子技术实验的基本方法和实验技能,培养独立分析问题和解决问题的能力。 二、实验主要容: 教学容分为基础型、综合型,设计型和研究型,教学计划分为多个层次,学生根据其专业特点和自己的能力选择实验,1~2人一组。但每个学生必须选做基础型实验,综合型实验,基础型实验的目的主要是培养学生正确使用常用电子仪器,掌握数字电路的基本测试方法。按实验课题要求,掌握设计和装接电路,科学地设计实验方法,合理地安排实验步骤的能力。掌握运用理论知识及实践经验排除故障的能力。综合型实验的目的就是培养学生初步掌握利用EDA软件的能力,并以可编程器件应用为目的,培养学生对新技术的应用能力。初步具有撰写规技术文件能力。设计型实验的目的就是培养学生综合运用已经学过的电子技术基础课程和EDA软件进行电路仿真实验的能力,并设计出一些简单的综合型系统,同时在条件许可的情况下,可开设部分研究型实验,其目的是利用先进的EDA软件进行电路仿真,结合具体的题目,采用软、硬件结合 的方式,进行复杂的数字电子系统设计。 . .

数字逻辑电路实验 实验1 门电路逻辑功能测试 实验预习 1 仔细阅读实验指导书,了解实验容和步骤。 2 复习门电路的工作原理及相应逻辑表达式。 3 熟悉所用集成电路的引线位置及各引线用途。 4 熟悉TTL门电路逻辑功能的测试。 5 了解数字逻辑综合实验装置的有关功能和使用方法。 实验目的 1 熟悉数字逻辑实验装置的有关功能和使用方法。 2 熟悉双踪示波器的有关功能和使用方法。 3 掌握门电路的逻辑功能,熟悉其外形和外引线排列。 4 学习门电路的测试方法。 实验仪器 1 综合实验装置一套 2 数字万用表一块 3 双踪示波器一台 4 器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 两输入端四异或门1片 74LS04 六反相器1片 实验原理说明 数字电路主要研究电路的输出与输入之间的逻辑关系,这种逻辑关系是由门电路的组合来实现的。门电路是数字电路的基本单元电路。门电路的输出有三种类型:图腾柱输出(一般TTL门电路)、集电极开路(OC门)输出和三态(3S)输出。它们的类型、逻辑式、逻辑符号与参考型号见表1-0。门电路的输入与输出量均为1和0两种逻辑状态。我们在实验中可以用乒乓开关的两种位置表示输入1和0两种状态,当输入端为高电平时,相应的输入端处于1位置,当输入端为低电平时,相应的输入端处于0位置。我们也可以用发光二极管的两种状态表示输出1和0两种状态,当输出端为高电平时,相应的发光二极管亮,当输出端为低电平时,相应的发光二极管不亮。我们还可以用数字万用表直接测量输出端的电压值,当电压值为3.6V左右时为高电平,表示1状态;当电压值为0.3V以下时为低电平,表示0状态。在实验中,我们可以通过测试门电路输入与输出的逻辑关系,分析和验证门电路的逻辑功能。我们实验中的集成电路芯片主要以TTL集成电路为主。 . .

数字逻辑实验、知识点总结(精编文档).doc

【最新整理,下载后即可编辑】 数字逻辑实验报告、总结 专业班级:计算机科学与技术3班 学号:41112115 姓名:华葱 一、 实验目的 1. 熟悉电子集成实验箱的基本结构和基本操作 2. 通过实验进一步熟悉各种常用SSI 块和MSI 块的结构、 各管脚功能、工作原理连接方法 3. 通过实验进一步理解MSI 块的各输入使能、输出使能的 作用(存在的必要性) 4. 通过实验明确数字逻辑这门课程在计算机专业众多课 程中所处的位置,进一步明确学习计算机软硬件学习的 主线思路以及它们之间的关系学会正确学习硬件知识 的方法。 二、 实验器材 1. 集成电路实验箱 2. 导线若干 3. 14插脚、16插脚拓展板 4. 各种必要的SSI 块和MSI 块 三、 各次实验过程、内容简述 (一) 第一次实验:利用SSI 块中的门电路设计一个二进制一 位半加器 1. 实验原理:根据两个一位二进制数x 、y 相加的和与 进位的真值表,可得:和sum=x 异或y ,进位C out =x ×y 。相应电路: 2. 实验内容: a) 按电路图连接事物,检查连接无误后开启电源 b) 进行测试,令

y>={<0,0>,<0,1>,<1,0>,<1,1>},看输出位sum 和C out 的变化情况。 c) 如果输出位的变化情况与真值表所述的真值相 应,则达到实验目的。 (二) 第二次实验:全加器、74LS138译码器、74LS148编码器、 74LS85比较器的测试、使用,思考各个输入、输出使能 端的作用 1. 实验原理: a) 全加器 i. 实验原理: 在半加器的基础上除了要考虑当前两个二进制为相 加结果,还要考虑低位(前一位)对这一位的进位 问题。由于进位与当前位的运算关系仍然是和的关 系,所以新引入的低位进位端C in 应当与当前和sum 再取异或,而得到真正的和Sum ;而进位位C out 的 产生有三种情况:={<1,1,0>,<1,0,1>,<0,1,1>},也就是说当x 、y 、 C in 中当且仅当其中的两个数为1,另一个数为0的 时候C out =1,因此:C out =xy+xC in +yC in 得电路图(也 可以列出关于C in 的真值表,利用卡诺图求解C in 的 函数表达式): ii. >的8中 指,y ,C in x y C in Sum C out

数字电子技术基础实验指导书

『数字电子技术基础实验指导书』 实验一实验设备认识及门电路 一、目的: 1、掌握门电路逻辑功能测试方法; 2、熟悉示波器及数字电路学习机的使用方法; 3、了解TTL器件和CMOS器件的使用特点。 二、实验原理 门电路的静态特性。 三、实验设备与器件 设备 1、电路学习机一台 2、万用表两快 器件 1、74LS00 一片(四2输入与非门) 2、74LS04 一片(六反向器) 3、CD4001 一片(四2输入或非门) 四、实验内容和步骤 1、测试74LS04的电压传输特性。按图1—1连好线路。调节电位器,使V I 在0~+3V间变化, 记录相应的输入电压V 1和输入电压V 的值。至少记录五组数据,画出电压传输特性。 2、测试四二输入与非门74LS00的输入负载特性。测试电路如图1—2所示。请用万用表测 试,将V I 和V O 随R I 变化的值填入表1—1中,画出曲线。 表1-1 3、测试与非门的逻辑功能。 测量74LS00二输入与非门的真值表:将测量结果填入表1—2中。

表1—2 4、测量CD4001二输入或非门的真值表,将测量结果填入表1-2中。 注意CMOS 电路的使用特点:应先加入电源电压,再接入输入信号;断电时则相反,应先测输入信号,再断电源电压。另外,CMOS 电路的多余输入端不得悬空。 五、预习要求 1、阅读实验指导书,了解学习机的结构; 2、了解所有器件(74LS00,74LS04,CD4001)的引脚结构; 3、TTL 电路和CMOS 电路的使用注意事项。 图1-1 图1-2 300V O

一、实验目的 1、学习并掌握小规模芯片(SSI)实现各种组合逻辑电路的方法; 2、学习用仪器检测故障,排除故障。 二、实验原理 用门电路设计组合逻辑电路的方法。 三、实验内容及要求 1、用TTL与非门和反向器实现“用三个开关控制一个灯的电路。”要求改变任一开关状态都能控制灯由亮到灭或由灭到亮。试用双四输入与非门74LS20和六反向器74LS04和开关实现。测试其功能。 2、用CMOS与非门实现“判断输入者与受血者的血型符合规定的电路”,测试其功能。 要求如下: 人类由四种基本血型— A、B、AB、O型。输血者与受血者的血型必须符合下述原则;O 型血可以输给任意血型的人,但O型血的人只能接受O型血;AB型血只能输给AB型血的人,但AB血型的人能够接受所有血型的血;A型血能给A型与AB型血的人;而A型血的人能够接受A型与O型血;B型血能给B型与AB型血的人,而B型血的人能够接受B型与O型血。试设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路,如果输血者的血型符合规定电路,输出高电平(提示:电路只需要四个输入端,它们组成一组二进制数码,每组数码代表一对输血与受血的血型对)。 约定“00”代表“O”型 “01”代表“A”型 “10”代表“B”型 “11”代表“AB”型 3、TTL与非门和反向器实现一组逻辑电路,其功能自行选定。 四、实验设备及器件 1、数字电路学习机一台 2、74LS20 三片(双四输入与非门) 3、74LS04 一片(六反向器) 4、CD4011 两片(四二输入与非门) 五、预习要求 1、自行设计电路,画出接线图(用指定器件设计)。 2、制定测试逻辑功能方案,画出必要的表格。

数字电路实验大纲

数字电路实验课程教学大纲 一、课程的基本信息 适应对象:电子科学与技术电子信息工程通信工程 课程代码:AAD00813 学时分配:16 赋予学分:1 先修课程:电路分析低频电子线路 后续课程:信号系统单片机原理与接口技术 二、课程性质与任务 数字电路实验为专业基础实验,面向电子信息工程、电子科学与技术、通信工程专业开设的独立设置的实验课程及课内实验。通过本课程的学习使学生进一步掌握常用仪器的使用,并掌握数字电路基本知识、常用芯片的功能及参数以及中、大规模器件的应用,掌握组合逻辑电路和时序逻辑电路的设计方法。同时通过学习,可以培养学生独立思考、独立解决问题的能力,加强动手能力的培养,使学生掌握数字电路的设计方法。 三、教学目的与要求 本课程是一门集理论与实践与一体的课程。学生通过本课程的学习,能够掌握各种基本逻辑门电路的结构和功能;掌握各种组合逻辑电路的分析和设计方法;熟悉常用的触发器,并会对常用的时序电路进行分析;对较复杂的数字系统的分析方法能有所了解;掌握各种电子电路和系统的测试方法和技能。 四、教学内容与安排 实验项目设置与内容提要

虚拟实验项目设置与内容提要 五、教学设备和设施 DZX-1 电子学综合实验装置示波器数字电路虚拟实验系统 六、课程考核与评估 实验成绩由虚拟实验成绩、平时实验成绩和考核成绩组成,虚拟实验成绩占20%,平时实验成绩占50%,考核成绩占30%。平时实验成绩由实验操作成绩和实验报告成绩组成,实验操作成绩占平时实验成绩的70%;实验报告成绩占平时实验成绩的30%。实验操作主要考察学生对实验电路的设计难易程度、电路连接调试、问题解决的能力,是否能够达到设计要求;实验报告主要考察学生对实验涉及的理论知识的掌握,对实验得到的结论和现象是否能够正确理解和分析,并能够合理的解释实验中出现的问题,正确判断实验的成功、失败。

数字电路基础实验3

数字电子电路的基础实验 实验3 触发器及其应用 一、实验目的 1、掌握基本RS 、JK 、D 和T 触发器的逻辑功能; 2、掌握集成触发器的逻辑功能及使用方法; 3、掌握触发器之间相互转换的方法。 二、实验原理 触发器具有两个稳定状态,分别表示逻辑状态“1”和“0”。在一定的外界信号作用下,它可以从 一个稳定状态翻转到另一个稳定状态,是一个具有记忆功能的二进制信息存储器件,是构成各种时序电路的最基本逻辑单元。 1、 基本RS 触发器 图4.29为由两个与非门交叉耦合构成的基本RS 触发器,它是无时钟控制低电平直接触发的触发器。基本RS 触发器具有置“0”、置“1”和“保持”三种功能。通常称S 为置“1”端,因为S =0(R =1)时触发器被置“1”;R 为置“0”端,因为R =0(S =1)时触发器被置“0”,当S =R =1时状态保持;S =R =0时,触发器状态不定,应避免此种情况发生,表4.18为基本RS 触发器的功能表。基本RS 触发器。也可以用两个“或非门”组成,此时为高电平触发有效。 2、JK 触发器 在输入信号为双端的情况下,JK 触发器是功能完善、使用灵活和通用性较强的一种触发器。本实验采用74HC112双JK 触发器,是下降边沿触发的边沿触发器。引脚功能及逻辑符号如图4.30所示。JK 触发器的状态方程为:Q n+1 =J Q n +K Q n 表4.18 基本RS 触发器的功能表 图4.29 基本RS 触发器 J 和K 是数据输入端,是触发器状态更新 的依据,若J 、K 有两个或两个以上输入端时, 组成“与”的关系。Q 与Q 为两个互补输出 端。通常把 Q =0、Q =1的状态定为触 发器“0”状态;而把Q =1,Q =0定为 “1”状态下降沿触发JK 触发器的功能如 表4.19所示。 注:×为 任意态, ↓为高到低电平跳变, 图4.30 74HC112的引脚排列图及逻辑符号

数字电路实验指导书选样本

实验一基本门电路 实验类型: 验证 实验类别: 专业主干课 实验学时: 3 所属课程: 数字电子技术 一、实验目的 ( 1) 熟悉常见门电路的逻辑功能; ( 2) 学会利用门电路构成简单的逻辑电路。 二、实验要求: 集成逻辑门电路是最简单、最基本的数字集成元件, 任何复杂的组合电路和时序电路都可用逻辑门经过适当的组合连接而成。本实验要求熟悉74LS00、 74LS02、 74LS86的逻辑功能, 需要查阅集成块的引角图, 并能够利用它们构成简单的组合逻辑电路, 写出设计方案。 三、实验仪器设备及材料 数字电路实验箱 1台; 74LS00、 74LS02、 74LS86各一块 四、实验方案 1、 TTL与非门逻辑功能测试 将四2输入与非门74LS00插入数字电路实验箱面板 的IC插座上, 任选其中一与非门。输入端分别输入不 同的逻辑电平( 由逻辑开关控制) , 输出端接至 LED”电平显示”输入端。观察LED亮灭, 并记录对应 的逻辑状态。按图1-1接线, 检查无误方可通电。 图1-1 表1-1 74LS00逻辑功能表

2、 TTL或非门、异或门逻辑功能测试 分别选取四2输入或非门74LS02、四2输入异或门74LS86中的任一门电路, 测试其逻辑功能, 功能表自拟。 3、若要实现Y=A′, 74LS00、 74LS02、 74LS86将如何连接, 分别画出其实验连线图, 并验证其逻辑功能。 4、用四2输入与非门74LS00实现与或门Y=AB+CD的功能。画出实验连线图, 并验证其逻辑功能。 五、考核形式 检查预习情况占30%, 操作占40%, 实验报告占30%。 六、实验报告 主要内容包括, 对实验步骤, 实验数据、实验中的特殊现象、实验操作的成败、实验的关键点等内容进行整理、解释、分析总结, 回答思考题, 提出实验结论或提出自己的看法等。 七、思考题 如何处理各种门电路的多余输入端?

数字电子技术实验指导书

数字电子技术实验指导书 (学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截

相关主题
文本预览
相关文档 最新文档