当前位置:文档之家› 第01章数字逻辑基础习题解

第01章数字逻辑基础习题解

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

数字逻辑与数字系统

数字逻辑与数字系统 课程设计 班级:计131 学号:201358501144 姓名:吴传文 指导教师:沙丽杰 2014年12月27~29日

目录 第一章功能简介 (2) 正常计时: (2) 整点报时: (2) 校时: (2) 暂停: (2) 清零: (2) 第二章总体结构框图 (2) 第三章各模块框图 (2) 第四章各模块VHDL程序 (3) 第五章仿真图 (9) 第六章下载检验 (10) 第七章心得体会 (10)

第一章功能简介 正常计时:秒(16)、分(16)、小时(12)计数;秒计时的频率为1Hz,数码管用动态扫描实时显示计时的秒、分、小时。 整点报时:逢整点蜂鸣器在“15”分钟的第11、13、秒发频率为512Hz的低音,在“15”分钟的第15秒发频率为1024Hz的高音。 校时:校小时(K1=1),显示小时数码管以4Hz的频率递增计数。 暂停:保持当前时间,暂态显示。 清零:清零当前时间,从零开始重新计时。 第二章总体结构框图 第三章各模块框图 1. 12和16进制框图 2. 动显及蜂鸣器

2 1 第四章各模块VHDL程序 libraryieee; use ieee.std_logic_1164.all; useieee.std_logic_unsigned.all; entity fenpin1 is port(clk:instd_logic; clr:instd_logic; y:out std_logic_vector(1 downto 0); co:outstd_logic); end fenpin1; architecture fp1 of fenpin1 is signal q: std_logic_vector(1 downto 0); begin process(clk) begin if(clr='0') then q<="00"; co<='0'; elsif(clk'event and clk='1') then if(q="01") then q<="00"; co<='1'; else q<=q+1; co<='0'; end if; end if; y<=q;

数字逻辑第一章习题数字与编码

数字逻辑第一章习题数字与编码 一、选择题 1、以下代码中为无权码的为()。 A 8421BCD码 B 、5421BCD码C、余三码D、格雷码 2、一位十六进制数可以用()二进制数来表示。 A、1 B 、2 C 、4 D 、16 3、十进制数25用8421BCD码表示为()。 A 10 101 B 、0010 0101 C 、100101 D 、10101 4、在一个8位的存储单元中,能够存储的最大无符号整数是()。 A(256)10 B 、(127)10 C 、(FF)16 D 、(255)10 5、常用的BCD码有()。 A、奇偶校验码 B、格雷码 C、8421码 D、余三码 6、与模拟电路相比,数字电路主要的优点有()。 A、容易设计 B、通用性强 C、保密性好 D、抗干扰能力强 7、下面关于计算机中定点数与浮点数的一些叙述,正确的是_____________ A. 定点数只能表示纯小数 B. 浮点数尾数越长,数的精度就越高 C. 定点数的数值范围一定比浮点数的数值范围大 D. 定点数就是用十进制表示的数

8、下列有关”权值"表述正确的是______________

A. 权值是指某一数字符号在数的不同位置所表示的值的大小 B. 二进制的权值是"二",十进制的权值是"十" C. 权值就是一个数的数值 D. 只有正数才有权值 9. 下列有关”基数”表述正确的是 ______________ A. 基数是指某一数字符号在数的不同位置所表示的值的大小 B. 二进制的基数是"二”,十进制的基数是"十" C. 基数就是一个数的数值 D. 只有正数才有基数 10. 十进制数"13", 用三进制表示为___________ 11. 下列各数都是五进制数,其中 ___________ 对应的十进制数是偶数。 B. 101 12. 一个某进制的数"IAI ” ,其对应十进制数的值为300,则该数为 A. 十^一进制 B. 十二进制 C. 十三进制 D. 十四进制 13. 做无符号二进制加法:()2+(00001001)2= _______ A. B. 1010011 D. 14. 做无符号二进制减法:()2 -(00001001)2= _________ A. B. C. D. 15. 做下列逻辑加法:V 00001001= ___________

第一章 数字逻辑基础_数字逻辑与系统

第一章数字逻辑基础 教学基本要求: 掌握常用的数制二进制、十进制、十六进制的相互转换; 掌握二进制数的原码、反码及补码的表示方法; 掌握常用的编码及它们与二进制数间的相互转换; 掌握逻辑代数的基本定律与规则; 掌握逻辑函数的表示方法及各种表示方法之间的相互转换; 掌握代数法和卡诺图法化简逻辑函数。 重点: 常用的数制与编码; 逻辑代数基础; 逻辑命题的描述。 电子电路的信号主要有两类: 一类是在时间上和幅值上都连续的信号称为模拟信号,处理模拟信号的电路称为模拟电路。正弦信号是典型的模拟信号,如图1-1所示。 另一类是时间上和幅值上都离散的信号称为数字信号,处理数字信号的电路称为数字电路。脉冲信号是典型的数字信号,如图1-22所示。 数字电路的特点:

?工作信号是不连续的数字信号,所以电路中的半导体器件工作在开关状态,即稳定于饱和区或截止区,放大区只是其过度状态; ?数字电路既是开关电路又是逻辑电路,主要研究电路输入和输出间的逻辑关系。分析工具和方法与模拟电路完全不同,具有独立的基础理论; ?逻辑代数是分析逻辑电路的数学工具。 学习指导: 在本知识点学习中由最熟悉的十进制数入手,寻找各种计数体制的规律,特别要注意理解权的概念,熟练掌握任意进制数按权展开式。 在数字系统中采用二进制。因为二进制数的基数为2,只有0和1两个数码,其不仅运算简单,电路实现也容易,还可以利用逻辑代数;但表示同一数值的数比十进制需更多的位数,因此数字系统中又常用八进制和十六进制数。十、二、八、十六进制数的后缀分别为D、B、Q、H。对十进制数常可省略下标或后缀。十进制数特点: 1.有一个确定的基数10,且逢10进一; 2.有10个有序的数字符号有0--9和一个小数点,数码K i 从0~9; 3.每一个数位均有固定的含意称权10i,不同数位其权10i不同; 4.任意一个十进位制数均可写成按权展开式: (N) 10 = (K n-1 K n-2 …K 1 K .K -1 …K -m ) 10 = K n-1 10n-1+K n-2 10n-2+…+K 1 101+K 100+K -1 10-1+…+K -m 10-m 例: 二进制特点: ?二进制是以2为基数的计数体制,它仅采用2个数码0和1,并且“逢二进一”,即1+1=10; ?不同数位上的权值不同,其相应的权为2i; ?任意一个二进位制数均可写成按权展开式。

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数A C C B B A F+ + =,试用真值表、卡诺图和逻辑图表示该函数。解:(1)真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的卡诺图

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字逻辑系统教案

第3章V H D L基础 一、VHDL的优点 1、用于设计复杂的、多层次的设计。支持设计库和设计的重复使用 2、与硬件独立,一个设计可用于不同的硬件结构,而且设计时不必了解过多的硬件细节。 3、有丰富的软件支持VHDL的综合和仿真,从而能在设计阶段就能发现设计中的Bug,缩短设计时间,降低成本。 4、更方便地向ASIC过渡 5、VHDL有良好的可读性,容易理解。 二、VHDL与计算机语言的区别 1、运行的基础 计算机语言是在CPU+RAM构建的平台上运行 VHDL设计的结果是由具体的逻辑、触发器组成的数字电路 2、执行方式 计算机语言基本上以串行的方式执行 VHDL在总体上是以并行方式工作 3、验证方式 计算机语言主要关注于变量值的变化 VHDL要实现严格的时序逻辑关系 3.1 VHDL 基本语法 Library IEEE; --使用IEEE库 use IEEE.std_logic_1164.all; --本设计实体开放 IEEE --中 std_logic_1164程序包的所有项目entity eqcomp4 is --实体 port(a, b:in std_logic_vector(3 downto 0);--端口定义 equal :out std_logic); end eqcomp4; --实体结束architecture dataflow of eqcomp4 is --结构体

begin --关键字begin equal <= ‘1’ when a=b else ‘0’; --功能描述语句 End dataflow; --结构体结束 3.1.1 组合电路描述 在任何时刻,输出状态只决定于同一时刻各输入状态的组合,而与先前状态无关的逻辑电路称为组合逻辑电路。 组合逻辑电路的特点: (1)输出与输入之间没有反馈延迟通路; (2)电路中不含记忆元件。 一、二选一多路选择器 [提问] 二选一多路选择器输入输出关系? [提问] 二选一多路选择器在传统数字电路中的实现方法? 1、根据真值表化简后采用基本门电路数字芯片来实现 2、采用专门多路选择器数字芯片来实现 [分析程序]二选一多路选择器的VHDL程序(例3-1)、(例3-2)、(例3-3),并比较实现同一个功能的三个VHDL程序相同点和不同点,最后用QUARTUSII进行功能时序波形仿真来验证程序实现的功能是否符合二选一多路选择器的功能 3.1.2 VHDL结构 一个VHDL程序具有五个基本元素: –Entity(实体):实体用于描述设计系统的外部接口信号。 –Architecture(结构体):结构体用于描述系统的行为、系统数据的流程或者系统组织结构形式。 –Configuration(配置):配置用于从库中选取所需单元来组成系统设计的不同规格的不同版本,使被设计系统的功能发生变化。 –Package(包集合):包集合存放各设计模块能共享的数据类型、常数、子程序等。 –Library(库):库用于存放已编译的实体、构造体、包集合、配置。

数字逻辑复习题

数字逻辑复习 第一章 开关理论 考点:1.进制的转换(选择填空) 2.逻辑函数的化简 3.卡若图化简 4. 用与非门进行逻辑设计 课后试题 用布尔代数化简下列各逻辑函数表达式 9.将下列函数展开为最小项表达式 (1) F(A,B,C) = Σ(1,4,5,6,7) (2) F(A,B,C,D) = Σ(4,5,6,7,9,12,14) 10.用卡诺图化简下列各式 (1)C AB C B BC A AC F +++= 化简得F=C (2)C B A D A B A D C AB CD B A F ++++=

F=D A B A + (3) F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14) 化简得F=D BC D C A BC A C B D C ++++ (4) F(A,B,C,D)=∑m(0,13,14,15)+∑?(1,2,3,9,10,11) 化简得F=AC AD B A ++ 11.利用与非门实现下列函数,并画出逻辑图。 F=))((D C B A ++=))((D C B A

A B C D 参考试题: 1、C A BC C A AB C B A F ++++=),,(1 (用代数法化简) 1 )1(1=+++=+++=++++=B C C A C B C A A C BC C A B A F 2、∑∑+=m d D C B A F )5,2,0()14,13,12,10,9,8,6,4(),,,(2(用卡诺图法化简) 3、用公式法化简逻辑函数:Y =A'BC +(A+B')C 答:Y =A'BC +(A+B')C =(A'B )C +(A'B )' C =C 4.什么叫组合逻辑电路中的竞争-冒险现象?消除竞争-冒险现象的常用方法有哪些? 答:由于竞争而在电路输出端可能产生尖峰脉冲的现象叫竞争-冒险现象。 消除竞争-冒险现象的常用方法有:接入滤波电容,引入选通脉冲,修改逻辑设计。 5、用卡诺图化简下列逻辑函数 ∑=)15,14,13,12,10,9,8,2,1,0(),,,(m D C B A F C A D F +=2

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑电路习题集

第一章 数字逻辑电路基础 一、填空题 1、模拟信号的特点是在 和 上都是 变化的。(幅度、时间、连续) 2、数字信号的特点是在 和 上都是 变化的。(幅度、时间、不连续) 3、数字电路主要研究 与 信号之间的对应 关系。(输出、输入、逻辑) 4、用二进制数表示文字、符号等信息的过程称为_____________。(编码) 5、()11011(2= 10),()1110110(2= 8),()21(10= 2)。(27、166、10101) 6、()101010(2= 10),()74(8= 2),()7(16=D 2)。(42、111100、11010111) 7、最基本的三种逻辑运算是 、 、 。(与、或、非) 8、逻辑等式三个规则分别是 、 、 。(代入、对偶、反演) 9、逻辑函数化简的方法主要有 化简法和 化简法。(公式、卡诺图) 10、逻辑函数常用的表示方法有 、 和 。(真值表、表达式、卡诺图、逻辑图、波形图五种方法任选三种即可) 11、任何一个逻辑函数的 是唯一的,但是它的 可有不同的形式,逻辑函数的各种表示方法在本质上是 的,可以互换。(真值表、表达式、一致或相同) 12、写出下面逻辑图所表示的逻辑函数Y= 。(C B A Y )(+=) 13、写出下面逻辑图所表示的逻辑函数Y= 。())((C A B A Y ++=) 14、半导体二极管具有 性,可作为开关元件。(单向导电)

15、半导体二极管 时,相当于短路; 时,相当于开路。(导通、截止) 16、半导体三极管作为开关元件时工作在 状态和 状态。(饱和、截止) 二、判断题 1、十进制数74转换为8421BCD 码应当是BCD 8421)01110100(。(√) 2、二进制只可以用来表示数字,不可以用来表示文字和符号等。(╳) 3、十进制转换为二进制的时候,整数部分和小数部分都要采用除2取余法。(╳) 4、若两个函数相等,则它们的真值表一定相同;反之,若两个函数的真值表完全相同,则这两个函数未必相等。(╳) 5、证明两个函数是否相等,只要比较它们的真值表是否相同即可。(√) 6、在逻辑函数表达式中,如果一个乘积项包含的输入变量最少,那么该乘积项叫做最小项。(╳) 7、当决定一件事情的所有条件全部具备时,这件事情才发生,这样的逻辑关系称为非。(╳) 8、在全部输入是“0”的情况下,函数B A Y +=运算的结果是逻辑“0”。( ╳) 9、逻辑变量取值的0和1表示事物相互独立而又联系的两个方面。(√) 10、在变量A 、B取值相异时,其逻辑函数值为1,相同时为0,称为异或运算。(√) 11、逻辑函数的卡诺图中,相邻最小项可以合并。(√) 12、对任意一个最小项,只有一组变量取值使得它的值为1.(√) 13、任意的两个最小项之积恒为0。(√) 14、半导体二极管因为其有导通、截止两种工作状态,所以可以作为开关元件使用;半导体三极管因为其有饱和、截止、放大三种工作状态,所以其不可以作为开关元件使用。(╳) 15、半导体二极管、三极管、MOS 管在数字电路中均可以作为开关元件来使用。(√) 三、选择题 1、下列哪些信号属于数字信号(B )。 A 、正弦波信号 B 、时钟脉冲信号 C 、音频信号 D、视频图像信号 2、数字电路中的三极管工作在(C )。 A 、饱和区 B、截止区 C 、饱和区或截止区 D、放大区 3、十进制整数转换为二进制数一般采用(A) A 、除2取余法 B 、除2取整法 C 、除10取余法 D 、除10取整法 4、将十进制小数转换为二进制数一般采用(B) A 、乘2取余法 B 、乘2取整法 C 、乘10取余法 D 、乘10取整法 5、在(A)的情况下,函数B A Y +=运算的结果是逻辑“0”

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字逻辑电路与系统设计习题答案

数字逻辑电路与系统设计习题答案

第1章习题及解答 1.1 将下列二进制数转换为等值的十进制数。 (1)(11011) 2 (2) (10010111) 2 (3)(1101101) 2 (4) (11111111) 2 (5)(0.1001) 2 (6) (0.0111) 2 (7)(11.001) 2 (8) (101011.11001) 2 题1.1 解: (1)(11011) 2 =(27) 10 (2) (10010111) 2 =(151) 10 (3)(1101101) 2 =(109) 10 (4) (11111111) 2 =(255) 10 (5)(0.1001) 2 =(0.5625) 10 (6)(0.0111) 2 =(0.4375) 10 (7)(11.001) 2=(3.125) 10 (8)(101011.11001) 2 =(43.78125) 10 1.3 将下列二进制数转换为等值的十六进制数 和八进制数。 (1)(1010111) 2 (2)

(110111011)2 (3) (10110.011010)2 ( 4) (101100.110011)2 题1.3 解: (1) (1010111)2 =(57)16 =(127)8 (2) (110011010)2 =(19A )16 =(632)8 (3) (10110.111010)2 =(16.E8)16 =(26.72)8 (4) (101100.01100001)2 =(2C.61)16 = (54.302)8 1.5 将下列十进制数表示为8421BCD 码。 (1) (43)10 (2) (95.12)10 (3) (67.58)10 (4) (932.1)10 题1.5 解: (1) (43)10 =(01000011)8421BCD (2) (95.12)10 =(10010101.00010010) 8421BCD (3) (67.58)10 =(01100111.01011000)8421BCD (4) (932.1)10 =(100100110010.0001) 8421BCD

数字逻辑电路第1章习题解答

第1章 数字逻辑基础 1-1 将下列二进制数转换为十进制数。 (1) 2(1101) (2) 2(10110110) (3) 2(0.1101) (4) 2(11011011.101) 解 (1)3210210(1101)12120212(13)=?+?+?+?= (2)75421210(10110110)1212121212(182)=?+?+?+?+?= (3) 124210(0.1101)1212120.50.250.0625(0.8125)---=?+?+?=++= (4) 76431013210 (11011011.101)22222222 12864168210.50.125 (219.625)--=+++++++=+++++++= 1-2 将下列十进制数转换为二进制数和十六进制数 (1) 10(39) (2) 10(0.625) (3) 10(0.24) (4) 10(237.375) 解 (1)10216(39)(100111)(27)== (2) 10216(0.625)(0.101)(0.A)== (3)近似结果: 16210)3.0()00111101.0()24.0(D =≈ (4) 10216(237.375)(1110'1101.011)(0ED.6)== 1-3 将下列十六进制数转换为二进制数和十进制数 (1) 16(6F.8) (2) 16(10A.C) (3) 16(0C.24) (4) 16(37.4) 解 (1) 16210(6F.8)(1101111.1)(111.5)== (2) 16210(10A.C)(1'0000'1010.11)(266.75)== (3) 16210(0C.24)(1100.0010'01)(12.140625)== (4) 16210(37.4)(11'0111.01)(55.25)== 1-4 求出下列各数的8位二进制原码和补码 (1) 10(39)- (2) 10(0.625) (3) 16(5B) (4) 2(0.10011)- 解 (1)10(39)(1'0100111)(1'1011001)-==原码补码 (2) (0.1010000)(0.1010000)==10原码补码(0.625) (3) 16(5B)(01011011)(01011011)==原码补码 (4) 2(0.10011)(1.1001100)(1.0110100)-==原码补码

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分)

《数字逻辑》(第二版)习题答案 第一章

第一章 1. 什么是模拟信号?什么是数字信号?试举出实例。 解答 模拟信号-----指在时间上和数值上均作连续变化的信号。例如,温度、压 力、交流电压等信号。 数字信号-----指信号的变化在时间上和数值上都是断续的,阶跃式的,或者说是离散的,这类信号有时又称为离散信号。例如,在数 字系统中的脉冲信号、开关状态等。 2. 数字逻辑电路具有哪些主要特点? 解答 数字逻辑电路具有如下主要特点: ●电路的基本工作信号是二值信号。 ●电路中的半导体器件一般都工作在开、关状态。 ●电路结构简单、功耗低、便于集成制造和系列化生产。产品价格低 廉、使用方便、通用性好。 ●由数字逻辑电路构成的数字系统工作速度快、精度高、功能强、可 靠性好。 3. 数字逻辑电路按功能可分为哪两种类型?主要区别是什么? 解答 根据数字逻辑电路有无记忆功能,可分为组合逻辑电路和时序逻辑电路两类。 组合逻辑电路:电路在任意时刻产生的稳定输出值仅取决于该时刻电路 输入值的组合,而与电路过去的输入值无关。组合逻辑 电路又可根据输出端个数的多少进一步分为单输出和 多输出组合逻辑电路。 时序逻辑电路:电路在任意时刻产生的稳定输出值不仅与该时刻电路的输 入值有关,而且与电路过去的输入值有关。时序逻辑电 路又可根据电路中有无统一的定时信号进一步分为同 步时序逻辑电路和异步时序逻辑电路。 4. 最简电路是否一定最佳?为什么?

解答 一个最简的方案并不等于一个最佳的方案。最佳方案应满足全面的性能指标和实际应用要求。所以,在求出一个实现预定功能的最简电路之后,往往要根据实际情况进行相应调整。 5. 把下列不同进制数写成按权展开形式。 (1) (4517.239)10 (3) (325.744)8 (2) (10110.0101)2 (4) (785.4AF)16 解答 (1)(4517.239)10 = 4×103+5×102+1×101+7×100+2×10-1 +3×10-2+9×10-3 (2)(10110.0101)2 = 1×24+1×22+1×21+1×2-2+1×2-4 (3)(325.744)8 = 3×82+2×81+5×80+7×8-1+4×8-2+4×8-3 (4) (785.4AF)16 = 7×162+8×161+5×160+4×16-1+10×16-2 +15×16-3 6.将下列二进制数转换成十进制数、八进制数和十六进制数。 (1)1110101 (2) 0.110101 (3) 10111.01 解答 (1)(1110101)2= 1×26+1×25+1×24+1×22+1×20

数字电子技术基础第三版第一章答案

第一章数字逻辑基础 第一节重点与难点 一、重点: 1.数制 2.编码 (1)二—十进制码( BCD 码) 在这种编码中,用四位二进制数表示十进制数中的 0~9 十个数码。常用的编码有 8421BCD 码、 5421BCD 码和余 3 码。 8421BCD 码是由四位二进制数0000 到 1111 十六种组合中前十种组合,即0000~1001 来代表十进制数0~9 十个数码,每位二进制码具有固定的权值8、 4、 2、1,称有权码。 余 3 码是由 8421BCD 码加 3( 0011)得来,是一种无权码。 (2)格雷码 格雷码是一种常见的无权码。这种码的特点是相邻的两个码组之间仅有一位不同,因而 其可靠性较高,广泛应用于计数和数字系统的输入、输出等场合。 3.逻辑代数基础 (1)逻辑代数的基本公式与基本规则 逻辑代数的基本公式反映了二值逻辑的基本思想,是逻辑运算的重要工 具,也是学习数字电路的必备基础。 逻辑代数有三个基本规则,利用代入规则、反演规则和对偶规则使逻辑函 数的公式数目倍增。 (2)逻辑问题的描述 逻辑问题的描述可用真值表、函数式、逻辑图、卡诺图和时序图,它们各具特点又相互关联,可按需选用。 (3)图形法化简逻辑函数 图形法比较适合于具有三、四变量的逻辑函数 的简化。二、难点: 1.给定逻辑函数,将逻辑函数化为最简 用代数法化简逻辑函数,要求熟练掌握逻辑代数的基本公式和规则,熟练运 用四个基本方法—并项法、消项法、消元法及配项法对逻辑函数进行化简。 用图形法化简逻辑函数时,一定要注意卡诺图的循环邻接的特点,画 包围圈时应把每个包围圈尽可能画大。 2.卡诺图的灵活应用 卡诺图除用于简化函数外,还可以用来检验化简结果是否最简、判断函数间的关系、 求函数的反函数和逻辑运算等。 3.电路的设计 在工程实际中,往往给出逻辑命题,如何正确分析命题,设计出逻辑电路 呢?通常的步骤如下:

数字逻辑课本习题答案

习题五 1. 简述时序逻辑电路与组合逻辑电路的主要区别。 解答 组合逻辑电路:若逻辑电路在任何时刻产生的稳定输出值仅仅取决于该时刻各输入值的组合,而与过去的输入值无关,则称为组合逻辑电路。组合电路具有如下特征: ①由逻辑门电路组成,不包含任何记忆元件; ②信号是单向传输的,不存在任何反馈回路。 时序逻辑电路:若逻辑电路在任何时刻产生的稳定输出信号不仅与电路该时刻的输入信号有关,还与电路过去的输入信号有关,则称为时序逻辑电路。时序逻辑电路具有如下特征: ○1电路由组合电路和存储电路组成,具有对过去输入进行记忆的功能; ○2电路中包含反馈回路,通过反馈使电路功能与“时序”相关; ○3电路的输出由电路当时的输入和状态(过去的输入)共同决定。 2. 作出与表1所示状态表对应的状态图。 表1 状态表 现态y2 y1 次态y2 ( n+1)y1(n+1) /输出Z x2x1=00x2x1=01x2x1=11x2x1=10 A B C D B/0 B/0 C/0 A/0 B/0 C/1 B/0 A/1 A/1 A/0 D/0 C/0 B/0 D/1 A/0 C/0

解答 根据表1所示状态表可作出对应的状态图如图1所示。 图1 3. 已知状态图如图2所示,输入序列为x=,设初始状态为A,求状态和输出响应序列。 图2 解答 状态响应序列:A A B C B B C B 输出响应序列:0 0 0 0 1 0 0 1

4. 分析图3所示逻辑电路。假定电路初始状态为“00”,说明该电路逻辑 功能 。 图 3 解答 ○1 根据电路图可写出输出函数和激励函数表达式为 x K x,J ,x K ,xy J y xy Z 111121 2===== ○2 根据输出函数、激励函数表达式和JK 触发器功能表可作出状态表如表2所示, 状态图如图4所示。 表2 图4 现态 y 2 y 1 次态 y 2( n+1)y 1(n+1)/输出Z x=0 x=1 00 01 10 11 00/0 00/0 00/0 00/0 01/0 11/0 11/0 11/1

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

相关主题
文本预览
相关文档 最新文档