当前位置:文档之家› 第4章组合逻辑电路习题解答

第4章组合逻辑电路习题解答

第4章组合逻辑电路习题解答
第4章组合逻辑电路习题解答

习题

4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节)

习题4.1图

解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能

4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节)

习题4.2图 解:[]B A B B

B A F ⊕=⊕⊕⊕=)(

4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本

题属于4.1节)

解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???=

4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节)

(1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。

L

B A =1 =1 =1

F

F

B A

习题4.4图

解:(1)ABD BC CD ABD BC CD L ++=??= (2)

(3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节)

习题4.5图

解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2)

(3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。

4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节)

10

习题4.6图

解:(1

D

C A

D B AD D C A D B AD D C A D B AD A D C D B AD L ??=++=++=+++?=)(

(2)

(3)

4.7已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。(基本题属于4.2节)

习题4.7图 解:(1)根据波形图得到真值表:

F

C B A

L

C AB BC A C B A F ++=

4.8、设∑=)14,12,10,9,8,4,2(),,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。

1)用与非门实现。 2)用或非门实现。

3) 用与或非门实现。(基本题属于4.2节)

解:1)

(1)将逻辑函数化成最简与或式并转换成最简与非与非式。

D C B D A D C B D C B D A D C B D C B D A D C B F ??=++=++=

(2)根据最简与非与非式画出用与非门实现的最简逻辑电路。

2)

(1) 将逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

F

L

(2) 利用反演规则将逻辑函数化成最简或与式并转换成最简或非或非式。

D

C A C B A

D B D A C B A D C A C B A D B D A C B A D C A C B A D B D A C B A F ++++++++++++=++++++++=++++++++=))()()()(())()()()((

(3)根据最简或非或非式画出用或非门实现的最简逻辑电路。(图略) 3)

(1)由上步可知逻辑函数的反函数化成最简与或式。

ACD BC A BD D A C B A F ++++=

(2)则逻辑函数的最简与或非式为。

ACD BC A BD D A C B A F ++++=

(3)根据最简与或非式画出用与或非门实现的最简逻辑电路。(图略)

4.9、设计一个由三个输入端、一个输出端组成的判奇电路,其逻辑功能为:当奇数个输入信号为高电平时,输出为高电平,否则为低电平。要求画出真值表和电路图。(基本题属于4.2节) 解:(1)根据题意,设输入逻辑变量为A 、B 、C ,输出逻辑变量为F ,列出真值表为:

(2)由真值表得到逻辑函数表达式为:

C B A ABC C B A C B A C B A F ⊕⊕=+++=

(3)画出逻辑电路图

F

4.10、试设计一个8421BCD 码的检码电路。要求当输入量ABCD ≤4,或≥8时,电路输出L 为高电平,否则为低电平。用与非门设计该电路。(基本题属于4.2节) 解:(1)根据题意列出真值表为:

(2)由真值表可得到输出逻辑函数表达式为:

)15,14,13,12,11,10()9,8,4,3,2,1,0()(0123m m D D D D L ∑+∑=

(3)将输出逻辑函数表达式化简并转换为与非与非式为:

0120120123)(D D D D D D D D D D L ?=+=

(4)画出逻辑电路图

4.11、一个组合逻辑电路有两个功能选择输入信号C 1、C 0,A 、B 作为其两个输入变量,F 为电路的输出。 当C 1C 0取不同组合时,电路实现如下功能:

1.C 1C 0=00时,F=A 2.C 1C 0=01时,F= A ⊕B 3.C 1C 0=10时,F=AB 4.C 1C 0=11时,F=A+B 试用门电路设计符合上述要求的逻辑电路。(基本题属于4.2节) 解:(1)根据题意,列出真值表

(2)由真值表列出逻辑函数表达式为:

B A

C AB C B A C A C C m B A C C F 0100101)15,14,13,11,6,5,1,0(),,,(+++=∑=

(3)根据逻辑函数表达式画出逻辑电路图。

B A =1 =1

C F

D L

C

F

4.12、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路

真值表,并选用合适的集成电路来实现。(基本题属于4.2节)

解:(1)根据题意,列出真值表

由题意可知,令输入为A、B、C表示三台设备的工作情况,“1”表示正常,“0”表示不正常,令输出为R,Y,G表示红、黄、绿三个批示灯的状态,“1”表示亮,“0”表示灭。

(2)由真值表列出逻辑函数表达式为:

∑=)6,5,3,0(),,(m C B A R ∑=)4,2,1,0(),,(m C B A Y

7),,(m C B A G =

(3)根据逻辑函数表达式,选用译码器和与非门实现,画出逻辑电路图。

4.13、 8-3线优先编码器74LS148在下列输入情况下,确定芯片输出端的状态。 (1) 6=0,3=0,其余为1; (2) EI=0,6=0,其余为1;

(3) EI=0,6=0,7=0,其余为1; (4) EI=0,0~7全为0;

(5) EI=0,0~7全为1。(基本题属于4.3节)

解:(1)74LS148在输入6=0,3=0,其余为1时,输出所有端均为1。

(2)74LS148在输入EI=0,6=0,其余为1时,输出A 2 A 1 A 0 =001,CS=0,EO=1。

(3)74LS148在输入EI=0,6=0,7=0,其余为1时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (4)74LS148在输入EI=0,0~7全为0时,输出A 2 A 1 A 0 =000,CS=0,EO=1。 (5)74LS148在输入EI=0,0~7全为1时,输出A 2 A 1 A 0 =111,CS=1,EO=0。

4.14、试用8-3线优先编码器74LS148连成32-5线的优先编码器。(基本题属于4.3节) 解:

4.15、4-16线译码器74LS154接成如习题4.15图所示电路。图中S 0、S 1为选通输入端,芯片译码时,S 0、S 1同时为0,芯片才被选通,实现译码操作。芯片输出端为低电平有效。 (1) 写出电路的输出函数F 1(A,B,C,D)和F 2(A,B,C,D)的表达式,当ABCD 为何种取值时,

函数F 1=F 2=1;

(2) 若要用74LS154芯片实现两个二位二进制数A 1A 0,B 1B 0的大小比较电路,即A >B

时,F 1=1;A <B 时,F 2=1。试画出其接线图。(综合题属于4.4节)

习题4.15图 解:(1)∑=

)14,13,9,7,4,0(),,,(1m D C B A F

∑=)15,13,9,8,7,5(),,,(2m D C B A F

当ABCD=0111或ABCD=1001或ABCD=1101时,F 1=F 2=1。 (2)由题意得到真值表如下:

∑=)14,13,12,9,8,4(),,,(1m D C B A F ∑=)11,7,6,3,2,1(),,,(2m D C B A F

画出逻辑电路图为:

4、16用74LS138译码器构成如习题4.16图所示电路,写出输出F 的逻辑表达式,列出真值表并说明电路功能。(基本题属于4.4节)

习题4.16图

解:(1)由题可得逻辑函数表达式为:

∑=)5,421(),,(,,m C B A F

(2)列出真值表如下:

4、17试用74LS138译码器和最少的与非门实现逻辑函数(基本题属于4.4节)

1)∑=

)7,6,2,0(C)B,(A,F 1m

2)F 2(A,B,C)=A ⊙B ⊙C 解:(1)

(2)F 2(A,B,C)=A ⊙B ⊙C ∑=

)7,4,2,1(m

4.18、试用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器。(综合题属于4.4节)

解:用3线-8线译码器74LS138设计一个能对32个地址进行译码的译码器如图所示。

4.19、已知8421BCD 可用7段译码器,驱动日字LED 管,显示出十进制数字。指出下列变换真值表中哪一行是正确的。(注:逻辑“1”表示灯亮)

Y 24~Y 31 A Y 8~Y 15 A

解:第二行4的显示是正确的。

4.20、已知某仪器面板有10只LED 构成的条式显示器。它受8421BCD 码驱动,经译码而点亮,如图所示。当输入DCBA=0111时,试说明该条式显示器点亮的情况。(综合题属于4.4节)

习题4.20图

解:由图可知,二极管0~7均为亮的,8、9为熄灭的。

4.21、74LS138芯片构成的数据分配器电路和脉冲分配器电路如习题4.21图所示。 (1) 图(a)电路中,数据从G 1端输入,分配器的输出端得到的是什么信号。

(2) 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到什么信号。(基本题属于4.5节)

(a )

(b)

习题4.21图

解:图(a)电路中,数据从G 1端输入,分配器的输出端得到的是G 1的分配信号的非。 图(b)电路中,G 2A 端加脉冲,芯片的输出端应得到的是G 2A 的分配信号。 4.22、 用8选1数据选择器74LS151构成如习题4.22图所示电路,写出输出F 的逻辑表达式,列出真值表并说明电路功能。(基本题属于4.5节)

10 10

习题4.22图

解:(1)由图可知输出F 的逻辑函数表达式为:

∑=)15,12,11,86,431(),,,(,,,m D C B A F

(2)列出真值表如下:

4.23、试用74LS151数据选择器实现逻辑函数(基本题属于4.5节)

1)∑=

)7,4,2,1(C)B ,(A,F 1m

2)∑=)14,13,12,11,9,7,6,5,1(D)C,B ,(A,F 2m 。

3)∑∑+=)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B ,(A,F 3d m 。

解:(1)

(2)

D

ABC C AB CD B A D C B A BC A D C B A D C B A D ABC D C AB D C AB CD B A D C B A BCD A D BC A D C B A D C B A m ?+?++?+?+?+?=++++++++==∑11)

14,13,12,11,9,7,6,5,

1(D)C,B,(A,F 2

(3)

D

C B A

D C B A C B A BC A C B A d m ++?+?+?=+=∑∑111)15,14,13,12,11,10()9,8,7,6,5,3,2,0(D)C,B,(A,F 3

4.24、8选1数据选择器74LS151芯片构成如习题4.24图所示电路。图中G 为使能端,G=0时,芯片正常工作;G=1时,Y=0(W=1)。分析电路功能,写出电路输出函数F 的表达式。 (基本题属于4.5节)

习题4.24图

解:由题所给逻辑电路图可知,输出逻辑函数表达式为:

CD

B D

C B A

D BC C B A C B A D B A CD B A D C B A D BC C B A C B A D C B A D ABC CD B A D C B A D BC A C B A C B A D C B A D ABC C AB CD B A D C B A D BC A C B A C B A D C B A +++++=+++++=?++?+?+?+?+?=?+?++?+?+?+?+?=

11011D)C,B,F(A,4.25、试用中规模器件设计一并行数据监测器,当输入4位二进制码中,有奇数个1时,输出F 1为1;当输入的这4位二进码是8421BCD 码时,F 2为1,其余情况F 1、F 2均为0。综

合题

解:(1)

(2)由真值表得到输出逻辑函数表达式为:

∑=)14,13,11,8,7,4,2,1(D)C,B,(A,F 1m ∑=)9,8,765,43,2,10(D)C,B,(A,F 2,,,,m

(3)用74LS154实现逻辑函获数如图所示。

4.26、四位超前进位全加器74LS283组成如习题4.26图所示电路,分析电路,说明在下述情况下电路输出CO 和S 3S 2S 1S 0的状态。(基本题属于4.6节) (1)K=0 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1001 (2)K=0 A 3A 2A 1A 0=0111 B 3B 2B 1B 0=1101 (3)K=1 A 3A 2A 1A 0=1011 B 3B 2B 1B 0=0110 (4)K=1 A 3A 2A 1A 0=0101 B 3B 2B 1B 0=1110

习题4.25图

解:(1)当K=0,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1001时,输出S 3S 2S 1S 0=1110,CO=0。 (2)当K=0,A 3A 2A 1A 0=0111,B 3B 2B 1B 0=1101时,输出S 3S 2S 1S 0=0100,CO=1。 (3)当K=1,A 3A 2A 1A 0=1011,B 3B 2B 1B 0=0110时,输出S 3S 2S 1S 0=0101,CO=1。 (4)当K=1,A 3A 2A 1A 0=0101,B 3B 2B 1B 0=1110时,输出S 3S 2S 1S 0=0111,CO=0。 4.27、试将74LS85接成一个五位二进制数比较器。(基本题属于4.7节)

解:将74LS85接成一个五位二进制数比较器如图所示,输入两个五位二进制数分别为X 4X 3X 2X 1X 0和Y 4Y 3Y 2Y 1Y 0

4.28、试用74LS 185实现六位二进制数到BCD 码的码组转换电路。(基本题属于4.8节) 解:用74LS 185实现六位二进制数到BCD 码的码组转换电路如图所示。D 5D 4D 3D 2D 1D 0 为六位二进制数的输入,B 3B 2B 1B 0 A 3A 2A 1A 0为两位十进制数的8421码的输出。

4.29、设每个门的平均传输延迟时间t pd =20ns ,试画出习题4.29图所示电路中A 、B 、C 、D 及v O 各点的波形图,并注明时间参数,设v I 为宽度足够的矩形脉冲.(基本题属于4.9节)

D 0 D 1 D 2 D 3 D 4 D 5 0 1 2 3 0 1 2 3

习题4.29图 解:电路中A 、B 、C 、D 及v O 各点的波形如图所示。

4.30、下列各逻辑函数中,其中无冒险现象的为:(基本题属于4.9节)

A .BC A

B A D A D

C B A F ++=),,,( B .

D BC B A D A D C B A F ++=),,,(; C .BC A D C D A D C B A F ++=),,,(; D .C AB C B A D A D C B A F ++=),,,(. 解:由题可知,A 式中无冒险现象。

4.31、 TTL 或非门组成的电路如习题4.31图所示。(基本题属于4.9节) (1) 分析电路在什么时刻可能出现冒险现象?

(2) 用增加冗余项的方法来消除冒险,电路应该怎样修改?

习题4.31图

解:(1)当A=1,B=D=0时,可能会出现冒险现象。 (2)电路在最后一个或非门的输入端增加一个D B + 项。

D v I

1 v O

&

ο

1 ο ο ο 1 1 & ο

A B C

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

第4章组合逻辑电路课后答案

第4 章 [题 4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 P3AP1P5P2P3P4 A P 4CP2 P3Y P5P6 B P1 AB Y P2BP1 C P6CP4 图P4.1 图P4.2 解:( 1)逻辑表达式 Y P5P6P2 P3 P4 CP4P2 P3P4CP4 P2 P3 C CP2 P3P2 P3 C C P2P3 PPC23P PC 2 3 P2 P3BP1 AP1 B AB AAB AB AB Y P2P3C P2 P3C AB AB C AB ABC AB ABC AB C ABC AB ABC AB ABC C ( 2)真值表 A B C Y A B C Y 00011000 00101011 01001101 01111110 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1 和全为0 时,Y=1,否则 Y=0 。 [题 4.3] 分析图P4.3电路的逻辑功能,写出Y1、、Y2的逻辑函数式,列出真值表,指出 电路完成什么逻辑功能。

A B Y 2 C Y 1 图 P4.3 [解 ] 解: Y2AB BC AC Y1 ABC ( A B ) C Y2 ABC ( A B ) BC AC C AB ABC ABC ) ABC ABC 真值表: A B C Y1 Y2 00000 00110 01010 01101 10010 10101 11001 11111 由真值表可知:电路构成全加器,输入 A 、B 、C 为加数、被加数和低位的进位,Y 1为“和”, Y 2为“进位”。 [题 4.4]图 P4.4 是对十进制数9 求补的集成电路CC14561 的逻辑图,写出当COMP=1 、Z=0 、和 COMP=0 、 Z=0 时, Y 1~ Y 4的逻辑式,列出真值表。

组合逻辑电路的分析

组合逻辑电路的分析(大题)一.目的 由逻辑图得出逻辑功能 二.方法(步骤) 1.列逻辑式: 由逻辑电路图列输出端逻辑表达式; (由输入至输出逐级列出) 2.化简逻辑式: 代数法、卡诺图法; (卡诺图化简步骤保留) 3.列真值表: 根据化简以后的逻辑表达式列出真值表;4.分析逻辑功能(功能说明): 分析该电路所具有的逻辑功能。 (输出与输入之间的逻辑关系); (因果关系) (描述函数为1时变量取值组合的规律) 技巧:先用文字描述真值表的规律(即叙述函数值为1时变量组合所有的取值),然后总结归纳电路实现的具体功能。

5.评价电路性能。三.思路总结: 组合逻辑 电路逻辑表达式最简表达式真值表逻辑功能化简 变换 四.注意: 关键:列逻辑表达式; 难点:逻辑功能说明 1、逻辑功能不好归纳时,用文字描述真值表的规律。(描述函数值为1时变量组合所有的取值)。 2、常用的组合逻辑电路。 (1)判奇(偶)电路; (2)一致性(不一致性)判别电路; (3)相等(不等)判别电路; (4)信号有无判别电路; (5)加法器(全加器、半加器); (6)编码器、优先编码器; (7)译码器; (8)数值比较器; (9)数据选择器; (10)数据分配器。

3、多输出组合逻辑电路判别: 1)2个输出时考虑加法器:2输入半加;3输入全加。 2)4输出时考虑编码器:4输入码型变换;编码器。 五.组合逻辑电路分析实例 例1 电路如图所示,分析电路的逻辑功能。 A B Y 解: (1)写出输出端的逻辑表达式:为了便于分析可将电路自左至右分三级逐级写出Z1、Z2、Z3和Y的逻辑表达式为:

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

04第四章组合逻辑电路

第四章组合逻辑电路 ▲ 4.1概述 1 ?逻辑电路的分类 (1)组合逻辑电路(简称组合电路); (2)时序逻辑电路(简称时序电路)。 2、组合逻辑电路的特点 (1)功能特点:任一时刻的输出状态仅仅取决于同一时刻的输入状态, 一时刻的状态无关。 (2)结构特点:不包含记忆单元,即存储单元。 3、组合逻辑电路的描述 如图所示: 用一组逻辑函数表示为: 『丫1 f1(X’、 X、X n) 斗丫2 f2(X’、 X2、 X n) JY n f n(X1、X2、X n) 4.2组合逻辑电路的分析和设计方法 一、分析方法 分析就是已知电路的逻辑图,分析电路的逻辑功能。分析步骤如下: (1)根据已知的逻辑图,从输入到输出逐级写出逻辑函数表达式。 (2)利用公式法或卡诺图法化简逻辑函数表达式(最简与或表达式)(3)列真值表。 (4)确定其逻辑功能。 例1、分析下图组合逻辑电路的功能。而与前 组合逻辑电路输出信号

(4)由真值表知:若输入两个或者两个以上的1, 输出丫为1 功能:在实际应用中可作为多数表决电路 使用。 练习:分析如图所示组合逻辑电路的功能 ▲二、设计方法 设计就是已知实际逻辑问题,设计实现该功能的最简电路。 设计步骤如下: (1)根据实际逻辑问题进行逻辑抽象,即确定输入、输出变量的个数,并对它们进行逻辑赋值(即确定0和1代表的含义)。 (2)根据逻辑功能列出真值表,求出逻辑函数表达式。 (3)选定逻辑器件。 1、若选用SSI (小规模门电路),则化简函数表达式,画出实现电路; 2、若选用MSI (中规模门电路),则变换函数表达式形式,画出实现电路。例2、 有三个班学生上自习,大教室能容纳两个班学生,小教室能容纳一个班学生。设计两个教室是否开灯的逻辑控制电路,用SSI门电路实现。要求如下: (1)一个班学生上自习,开小教室的灯。 (2)两个班上自习,开大教室的灯。 (3)三个班上自习,两教室均开灯。 解:(1)逻辑抽象: 设输入变量A、E、C分别表示三个班学生是否上自习,1表示上自习,0表示不上自习; 输出变量Y、F分别表示大教室、小教室的灯是否亮,1表示亮,0表示灭。 (2)列真值表: (3)列真值 表: ABC 丫 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1

组合逻辑电路课后答案

第4章 [题].分析图电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题] 分析图电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) 由真值表可知:、C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题] 图是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP=0、Z=0的真值表从略。 [题] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题的真值表如表所示,逻辑图如图(b)所示。

习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B. C. D. 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 S10214B 逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A Y

S10211I 图示逻辑电路的逻辑式为( )。 A. B. C. 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. A +B B. C. AB + 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B

数字电子技术第四章组合逻辑电路

第四章组合逻辑电路 4.1概述 1、数字电路种类:逻辑电路根据输岀信号对输入信号响应的不同分为两类:一类是组合逻辑电路,简称组合电路;另一类是时序逻辑电路,简称时序电路。 2、组合逻辑电路定义:某一时刻电路的输出状态仅由该时刻电路的输入信号决定,而与该电路在此输入信号之前所具有的状态无关。从电路结构上来看,组合逻辑电路的输出端和输入端之间没有反馈回路。 3、电路结构框图 组合电路的一般电路结构如右图所示。可用如下表达式裏示: X n-P X n) 点. | i 1)电路由逻辑门构成,不含记忆元件. 2)输出卷反馈到输入的回路(不含反馈元 件)所以输出与电路原来状态无关时序电路(以 后祥细讨论)某一时刻电路的输岀状态不仅取决 于该时刻电路的输入信号,还与该电路在此输入 信号之前所具有的状态有关。组逻电合辑路 X千― n-1 X n 组合电路有两类问题:7?给定电路,分析其功能。

4.2组合逻辑电路的分析方法与设计方法 421组合电路的分析方法 一、分析步骤: 1、由已知的逻辑图,写出相应的逻辑函数式; 2、对函数式进行化简; 3、根据化简后的函数式列真值表; 4、找出其逻辑功能; 5、评价与改进。(评价给定的逻辑电路是否经济、合理。)设计步骤用框图表示如下:

A?B (A^)C i+AB C (A^B)C f +AB = (A^B)C i +AB 一位二进制加法器。 A 为被加数, B 为加数, C,为低位的进位数。 S 为本位之和, C 。是本位向高位的进 位数。 ? 真值表 A^B 0 0 7 0 1 1 0 0 0 0 0 0 0 0 1 0 0 1 0 1 s (A?B)C Z 0 0 1 0 1 0 0 1 1 0 0 1 0 1 A?B?C. AB T" 0 0 0 0 0 0 0 0 1 0 1 Co P 0 0

组合逻辑电路练习题及答案

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC A F,但这 AB F,为了化简,利用逻辑代数的基本定理,可表示为C C A AB 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在 3.3V供电的数字系统里,所谓的高电平并不是一定是 3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

第4章 组合逻辑电路 课后答案

第4章 [题4.1].分析图P4.1电路的逻辑功能,写出输出的逻辑函数式,列出真值表,说明电路逻辑功能的特点。 图P4.1 B Y AP 56 P P = 图P4.2 解:(1)逻辑表达式 ()()() 5623442344 232323232323 Y P P P P P CP P P P CP P P C CP P P P C C P P P P C P PC ===+=+=++=+ 2311P P BP AP BABAAB AB AB ===+ ()()()2323Y P P C P P C AB AB C AB ABC AB AB C AB AB C ABC ABC ABC ABC =+=+++=+++=+++ (2)真值表 (3)功能 从真值表看出,这是一个三变量的奇偶检测电路,当输入变量中有偶数个1和全为0时,Y =1,否则Y=0。 [题4.3] 分析图P4.3电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。

图P4.3 B 1 Y 2 [解] 解: 2Y AB BC AC =++ 12 Y ABC A B C Y ABC A B C AB BC AC ABC ABC ABC ABC =+++=+++++=+++()()) B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [题4.4] 图P4.4是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

图P4.4 [解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [题4.5] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题4.5的真值表如表A4.5所示,逻辑图如图A4.5(b)所示。

3.1组合逻辑电路的分析

第三章组合逻辑电路 基本要求: 熟练掌握组合逻辑电路的分析方法;掌握组合逻辑电路的设计方法;理解全加器、译码器、编码器、数据选择器、数据比较器的概念和功能,并掌握它们的分析与实现方法;了解组合逻辑电路中的险象 本章主要内容:组合逻辑电路的分析方法和设计方法。 本章重点: 组合逻辑电路的分析方法 组合逻辑电路的设计方法 常用逻辑部件的功能 本章难点: 组合逻辑电路的设计 一、组合逻辑电路的特点 若一个逻辑电路,在任一时刻的输出仅取决于该时刻输入变量取值组合,而与电路以前的状态无关,则电路称为组合逻辑电路(简称组合电路)。可用一组逻辑函数描述。 组合电路根据输出变量分为单输出组合逻辑电路和多输出组合逻辑电路。 注意:1.电路中不存在输出端到输入端的反馈通路。 2.电路不包含记忆元件。 3.电路的输出状态只由输入状态决定。 二、组合逻辑电路的分析方法 分析的含义:给出一个组合逻辑电路,分析它的逻辑功能。 分析的步骤: 1.根据给出的逻辑电路图,逐级推导,得到输出变量相对于

输入变量的逻辑函数。 2.对逻辑函数化简。 3.由逻辑函数列出对应的真值表。 4.由真值表判断组合电路的逻辑功能。 三、组合电路的分析举例 1、试分析图3-1所示的单输出组合逻辑电路的功能 解:(1)由G1、G2、G3各个门电路的输入输出关系,推出整个电路的表达式: Z1=ABC F=Z1+Z2 (2)对该逻辑表达式进行化简: (3)根据化简后的函数表达式,列出真值表3-1。 (4)从真值表中可以看出:当A、B、C三个输入一致时(或者全为“0”、或者全为“1”),输出才为“1”,否则输出为“0”。所以,这个组合逻辑电路具有检测“输入不一致”的功能,也称为“不一致电路”。

门电路和组合逻辑电路

第十六章 门电路和组合逻辑电路 一 选择题 1、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 2、时序逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的 状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于 电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入 信号无关。 D 、以上均不正确。 3、数据选择器的地址输入端有2个时,最多可以有( )个数据信号 输入。 A 、1 B 、2 C 、4 D 、8 4、数据选择器的地址输入端有3个时,最多可以有( )个数据信号输入。 A 、4 B 、6 C 、8 D 、16 5、组合逻辑电路中,以下说法正确的是( )。 A 、电路中任意时刻的输出只取决于当时的输入信号,与电路原来的状态无关。 B 、电路中任意时刻的输出不仅与当时的输入信号有关,同时还取决于电路原来的状态。 C 、电路中任意时刻的输出只取决于电路原来的状态,与当时的输入信号无关。 D 、以上均不正确。 6、下列几种TTL 电路中,输出端可实现线与功能的电路是( )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 7、数据选择器有10个数据信号输入端时,至少得有( )个地址输入端。 A 、2 B 、3 C 、4 D 、5 8、以下哪个电路不是组合逻辑电路( )。 A 、编码器 B 、计数器 C 、译码器 D 、加法器

9、下列逻辑表达式正确的是( )。 .0A A A += .11B A ?= .C A AB A B +=+ .D A AB AB += 10、衡量集成逻辑电路优劣的因数是用它的:( ) A .增益×带宽; B .传输延迟时间×功耗; C .扇出系数×传输延迟时间; D .噪声容限×功耗。 11、以下诸论述中,唯一正确的是:( ) A .可以用OC 门构成电平变换电路; B .ECL 门电路主要用于集成度要求高的场合; C .CM0S 器件不可以和TTL 器件兼容; D .CMOS 器件的电源电压使用范围特别小,对电源的准确性要求严格. 12、集成门电路(不论是与、或、与非…等)的输入端若超过了需要,则这些多余的输入端应按哪种方式去处置才是正确的?( ) A .让它们开路; B .让它们通过电阻接最高电平(例如电源电压); C .让它们接地,或接电源的最低电平; D .让它们和使用中的输入端并接。 13、 以下表达式中符合逻辑运算法则的是( ) A.C ·C=C 2 B.1+1=10 C.0<1 D.A+1=1 14、 当逻辑函数有n 个变量时,共有( )个变量取值组合? A. n B. 2n C. n 2 D. 2n 15、. 逻辑函数的表示方法中具有唯一性的是( ) A .真值表 B.表达式 C.逻辑图 D.卡诺图 16、F=A B +BD+CDE+A D=( ) A.D B A + B.D B A )(+ C.))((D B D A ++ D.))((D B D A ++ 二 填空题 1.电子电路按功能可分为 电路和 电路。 2.根据电路的结构特点及其对输入信号响应规则的不同,数字电路可分为 和 。 3.数字电路的分析方法主要用 、功能表、 、波形图。 4.数字信号是一系列时间和数值都 的信号。 5.在数字电路中有两种数字逻辑状态分别是逻辑 和逻辑 。 6.逻辑函数F=)(B A A ⊕⊕ =

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

第4章组合逻辑电路习题解答

习题 4.1写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。(基本题属于4.1节) 习题4.1图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 4.2分析图所示电路,写出输出函数F 。(基本题属于4.1节) 习题4.2图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 4.3已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟.(基本 题属于4.1节) 图 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 4.4由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。(基本题属于4.1节) (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 L B A =1 =1 =1 F F B A

习题4.4图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) (3)4.5分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。(基本题属于4.1节) 习题4.5图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11时,该电路实现两输入或门,当S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 4.6试分析图所示电路的逻辑功能,并用最少的与非门实现。(综合题属于4.1、4.2节) 10

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

第20章习题2-门电路和组合逻辑电路

20章 组合电路 20-0XX 选择与填空题 20-1XX 画简题 20-2XX 画图题 20-3XX 分析题 20-XX 设计题 十二、[共8分]两个输入端的与门、 或门和与非门的输入波形如图 12 所示, 试画出其输出信号的波形。 解: 设与门的输出为F 1, 或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。 20-0XX 选择与填空题 20-001试说明能否将与非门、或非门、异或门当做反相器使用?如果可以,其他输入端应如何连接? 答案 与非门当反相器使用时,把多余输入端接高电平 或非门当反相器使用时,把多余输入端接低电平 A B F 1F 2F 3 (a) (b)

异或门当反相器使用时,把多余输入端接高电平 20-002、试比较TTL 电路和CMOS 电路的优、缺点。 答案 COMS 电路抗干扰能力强,速度快,静态损耗小,工作电压范围宽, 有取代TTL 门电路的趋势。 20-003简述二极管、三极管的开关条件。 答案 二极管:加正向电压导通,相当于开关闭合;反向电压截止,相当于 开关断开。三极管:U BE <0V 时,三极管可靠截止,相当于开关断开; i B 》I BS 时,三极管饱和,相当于开关闭合。 20-0004、同或运算关系,当两输入不相等时,其输出为1;异或运算关系,当两输入相等时,其输出为0; 20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为 _________,或非门的输出为___ ___,同或门的输出为__ __。 20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非 20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。 20-0008、将2004个“1”异或起来得到的结果是( )。 20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101 2)、和逻辑式BC A A + 相等的是( )。 A 、ABC B 、1+B C C 、A D 、BC A + 3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式 Y= ( )。 A 、A B B 、AB C 、B A + D 、A+B

第四章 组合逻辑电路

第三章 组合逻辑电路 一. 填空题 1. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=100时,输出 01234567Y Y Y Y Y Y Y Y 应为 11101111 。 2. 74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=101时,输出 01234567Y Y Y Y Y Y Y Y 应为 1101111 。 3. 数字电路按照是否有记忆功能通常可分为两类: 组合逻辑电路 和 时序逻辑电路。 。 4. 16选一数据选择器,其地址输入端有 16 个 5. 8选一数据选择器有___8______条地址控制线。 二.选择题 1. 在下列逻辑电路中,不是组合逻辑电路的是 D A.译码器 B.编码器 C.全加器 D.寄存器 2. 三十二路数据选择器,其地址输入端有 C 个 A .16 B .2 C .5 D . 8 3. 数据选择器是具有 A 通道的器件 A.多输入单输出 B.多输入多输出 C.单输入单输出 D.单输入多输出 4. 欲对全班54个同学以二进制代码编码表示,最少需要二进制的位数是(B ) A. 5 B.6 C. 10 D . 53 5. 已知A 、B 为逻辑门的输入端,F 为输出端,其输入、输出波形如图1所示。试判断这是哪种逻辑门的波形 D 。 A B F 图1 A.与非门 B. 与门 C. 或非门 D . 或门 三.简答和计算题

1. 将逻辑函数F AB AC ABC =++转化为与非-与非表达式,并画出只由 与非门实现的逻辑电路图。 2. 将逻辑函数Y=AB+BC+CA化为与非-与非形式,并画出只由与非门实现的逻辑电路图。 3. 用8选1数据选择器74HC151实现函数F AC ABC ABC ABC =+++。 4. 用8选1数据选择器74HC151实现逻辑函数F AC AB ABC =++。 5. 用8选1数据选择器实现函数F=AC+ABC+ABC。

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

组合逻辑电路练习题及答案.

第三章 组合逻辑电路练习题及答案 [3.1] 分析图P3.1电路的逻辑功能,写出Y 1、、Y 2的逻辑函数式,列出真值表,指出电路完成什么逻辑功能。 [解] BC AC AB Y BC AC AB C B A ABC Y ++=+++++=21)( B 、 C 为加数、被加数和低位的进位,Y 1为“和”,Y 2为“进位”。 [3.2] 图P3.2是对十进制数9求补的集成电路CC14561的逻辑图,写出当COMP=1、Z=0、和COMP=0、Z=0时,Y 1~Y 4的逻辑式,列出真值表。

[解] (1)COMP=1、Z=0时,TG 1、TG 3、TG 5导通,TG 2、TG 4、TG 6关断。 3232211 , ,A A Y A Y A Y ⊕===, 4324A A A Y ++= (2)COMP=0、Z=0时, Y 1=A 1, Y 2=A 2, Y 3=A 3, Y 4=A 4。 COMP =0、Z=0的真值表从略。 [3.3] 用与非门设计四变量的多数表决电路。当输入变量A 、B 、C 、D 有3个或3个以上为1时输出为1,输入为其他状态时输出为0。 [解] 题3.3的真值表如表A3.3所示,逻辑图如图A3.3所示。 ABCD D ABC D C AB CD B A BCD A Y ++++= BCD ACD ABC ABC +++=

B C D A C D A B D A B C ???= [3.4] 有一水箱由大、小两台泵M L 和M S 供水,如图P3.4所示。水箱中设置了3个 水位检测元件A 、B 、C 。水面低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C 点时水泵停止工作;水位低于C 点而高于B 点时M S 单独工作;水位低于B 点而高于A 点时M L 单独工作;水位低于A 点时M L 和M S 同时工作。试用门电路设计一个控制两台水泵的逻辑电路,要求电路尽量简单。 [解] 题3.4的真值表如表A3.4所示。 真值表中的C B A 、C B A 、C B A 、C AB 为约束项,利用卡诺图图A3.4(a)化简后得到:

相关主题
文本预览
相关文档 最新文档