当前位置:文档之家› 大一数字逻辑大作业

大一数字逻辑大作业

大一数字逻辑大作业
大一数字逻辑大作业

大一数字逻辑大作业

——乒乓球比赛模拟机的设计

题目:乒乓球比赛模拟机的设计

院系:软件学院

专业年级: 14

姓名与学号:王峥 1143710510

孙一铀 1143710513

周擎阳 1143710312

指导老师:张彦航

2015年6月18日

目录

1 绪论

1.1设计目的 (3)

1.2设计要求 (3)

2 电路组成及工作原理

2.1系统逻辑模型 (4)

2.1.1系统模块需求 (4)

2.1.2组员分工 (4)

2.2系统模块结构 (4)

2.2.1全局控制模块 (4)

2.2.1.1连续四脉冲发生单元 (5)

2.2.1.2数据初始化单元 (6)

2.2.1.3倒计时显像单元 (7)

2.2.1.4 开球信号产生单元 (8)

2.2.1.5 模块总体设计展示 (9)

2.2.1.4.1逻辑图 (9)

2.2.1.4.1波形图 (10)

2.2.2中央控制模块 (10)

2.2.2.1程序综述 (10)

2.2.2.2 代码实现 (11)

2.2.2.3实现效果 (13)

2.2.3计数模块 (14)

2.2.3.1局比分计数单元 (14)

2.2.3.2大比分计数单元 (15)

2.2.3.3数据清零单元 (16)

2.2.3.4信息反馈单元 (17)

2.2.3.5显像管显示单元 (18)

3 调试过程

3.1全局控制模块 (20)

3.2中央控制模块 (24)

3.3计数模块 (26)

4 设计结论 (27)

5 设计心得与总结 (28)

附录一:总体器件表及相关器件的功能表、管脚分布 (29)

附录二:总体设计图 (30)

附录三:仿真结果 (32)

附录四:工作说明 (32)

参考文献 (32)

1 绪论

随着科学技术的发展,人类社会已进入到高度发达的信息化社会, 信息社会的发展离不开电子产品的进步。现代电子产品的发展越来越快, 各种新型电子元器件和智能化的电子产品已经在国民经济的各个领域和人民生活的各个方面得到了日益广泛的应用。实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。其中电子玩具的发展也是在日益成熟。

乒乓球游戏机控制电路是有甲、乙双方参赛,自动控制发“球”的三人乒乓球游戏机;它能完成自动裁判和自动计分是一个带数字显示的模拟游戏机。其结构简单、成本低、易操作,安全性强、无污染。乒乓球游戏机还能在娱乐的同时提高我们的反应、应变能力。具有良好的市场发展前景。

1.1 设计目的

本次课程设计的内容是独立完成一个乒乓球比赛游戏机的设计,采用Max+Plus2电路仿真设计软件完成乒乓球比赛游戏机电路的设计及仿真调试,在微机上仿真实现乒乓球比赛游戏机的设计。通过这次课程设计让我们了解和熟悉了乒乓球游戏机的原理和Max+Plus2仿真设计软件的操作,也让我们加深了解了对双向移位寄存器、双D触发器及逻辑门电路的一些实际用途,并将理论与实践相结合。

1.2 设计要求

(1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球”

的移动速度可以调节。

(2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超

前,表示未击中或违规,则对方得一分。

(3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。

(5)能显示发球次数。

附加功能设计:

1、一方得分,电路自动提示3秒,此期间发球无效

2、某方达到11分后自动清0重新计数,用LED灯管显示获胜局数

2 电路组成及工作原理

2.1 系统逻辑模型

2.1.1 系统模块需求

(1)全局控制模块:

1、外界输入的全局开始信号

2、接受一个回合的结束信号,在数码管上显示倒计时,倒计时期间发球无效。

3、接受一局结束的信号,使大部分单元清0功能。

4、接受计数模块送来的换发球信号,交换发球权。

(2)中央控制模块:

1、外界输入的挥拍信号

2、全局控制模块送来的开球使能信号

3、模拟球台上显示球的双向移动

4、回合结束后给出一个回合的结束信号

(3)计数模块:

1、接受一个回合的结束信号,在数码管上显示比分计数

2、在数码管上显示发球计数

3、在数码管上显示双方获胜局数统计

4、一局结束后送出一局结束的信号

5、每记满5分送出交换发球信号

2.1.2 组员分工

(1) 全局控制模块:孙一铀

(2) 中央控制模块:周擎阳

(3) 计数模块:王铮

2.2 系统模块结构

2.2.1 全局控制单元

2.2.1.1连续四脉冲发生单元

1、单元需求:当一个回合开始信号脉冲到来时,从第一个时钟上升沿开始拷贝连续四段波形。

2、单元目的:给倒计时显像单元连续四个脉冲便于显像

3、单元管脚:

(1) Start(In):回合开始信号,由中央控制单元给出,经技术单元转发到该模块

(2) Clean(In):清0端

(3) Clock(In):时钟端

(4) Mb(In):恒为1

(5) Ma(In):由数据初始化单元给定

(6) D_cp(Out):连续四脉冲输出时钟端

4、单元设计思路:

该单元由两部分构成,一部分由双D触发器构成,一部分由74194双向移位寄存器构成,采用双D触发器能使在第四个脉冲到来时不再产生多余脉冲(具体原理设计可参见调试过程),采用74194双向移位计数其来控制电路产生正好四个脉冲,从逻辑图中可知,ABC端接地,D端接电源,每次回合开始信号到来时,Ma先送入一个逻辑“1”信号,而Mb端恒为“0”,此时进行读操作,后来Ma置为“0”,此时进行左移操作,当左移四次后,Qa端产生一个下降沿,由双D触发器控制74194不再进行工作,同时数据清0.

5、单元逻辑图展示:

6、单元波形图展示:

2.2.1.2数据初始化单元

1、单元需求:当一个开始信号到来时,给出一个Ma的“0-1-0”脉冲,要求该脉冲上升沿与start信号重合,下降沿与start信号后第一个时钟信号的下降沿重合。保证在第一个时钟上升沿到来时

2、单元目的:外界信号只有Start、Clock 所以Ma信号一定是由电路自身给定,要求该脉冲正好覆盖start上升沿后的第一个时钟。

3、单元管脚:

(1) Start(In):回合开始信号,由中央控制单元给出,经技术单元转发到该模块

(2) Clock(In):时钟端

(3) Ma(Out):初始化信号输出端

4、单元设计思路:

该单元由三个部分构成,右边的部分由D触发器构成,该部分功能是1个摩2计数器,时钟端仅接法如图所示,仅当start上升沿与有效时钟的下降沿触发。当Start信号上升沿到来时,上部分的D触发器的清0端被置为1,时钟端接收到有效的上升脉冲,Q端输出由0变为1,下方的信号输出方为有效(通过中央与门)。下方的部分由两个D触发器构成,第一个触发器的功能也为模2计数器,第二个触发器的清0端由第一个触发器控制,仅当第一个触发器的Q端输出为一时,第二个触发器才能正常工作,换言之,只有接收到一个时钟上升沿后,再接受一个下降沿才是有效的信号,仅仅一个下降沿的输出是无效的。为什么要这么做呢?由下图波形图所示,由Start信号的任意性可知,Start信号的上升沿后,收到的第一个时钟变化沿可能就是一个下降沿,然而这不是一个完整的时钟信号,不符合我们的需求,所以为了覆盖一个完整的时钟,所以只有当遇到一个时钟上升沿后,送入的下降沿才是有效的。

5、单元逻辑图展示:

2.2.1.3倒计时显像单元

1、单元需求:根据送入连续四个脉冲分别显示0-3-2-1-0字形。

2、单元目的:用7段数码管显示倒计时信号

3、单元管脚:

(1)Clock(In):时钟端,四个连续脉冲,由连续四脉冲发生单元给出(2)syy_a ——syy_g(Out):数码管接入信号

(3)Enable(Out):由syy_a给出,经观察发现,syy_a端正好在四个脉冲结束后给出一个上升沿,正好可以作为送给中央控制单元的发球使能信号。

4、单元设计思路:

该单元分为两个部分,第一个部分为双D触发器构成的模4计数器,第二个部分为译码器电路。

设计如下:

逻辑表达式如下:

a = A’+ B’

b = 1

c = A’+ B

d = a

e = B’

f = A’·B’

g = A⊕B

5、单元波形图展示:

2.2.1.4 开球信号产生单元

1、单元需求:每一个结束信号到来时,完成以下时序图的转变。

2、单元目的:每一回合结束时,交换发球权。

3、单元管脚:

(1) Change(In):换发球时钟信号,由计数单元给出

(2) Ca(Out):逻辑0表示发球权不在a手中

(3) Cb(Out):逻辑0表示发球权不在b手中

4、单元设计思路:

只有3种状态,所以用双D触发器即可实现该同步时序计数器的转换

5、单元逻辑图展示:

6、单元波形图展示:

2.2.1.4 模块总体设计展示2.2.1.4.1逻辑图

2.2.1.4.1波形图

2.2.2 中央控制模块

本单元难度较大,单纯用连线图的方式很难实现.因此决定采用vhdl 进行硬件语言编程,从而更好的调节程序.

2.2.2.1 程序综述

程序图如图所示

其中输入:

clk是时钟端,负责接受时钟信号.

Ca,Cb是开球信号,

Enable是使能信号,

a,b则是模拟挥拍信号.

输出:

Ball是模拟乒乓球的输出信号

Sa,Sb是给计数模块的胜负信号

Over是比赛结束后送给计数模块的一个上升沿端

2.2.2.2 代码实现

由于对vhdl并不熟悉,在实现过程中部分参考了[1]https://www.doczj.com/doc/338689941.html,/link?url=WMEVDGL Y90wHgMZNvq5aWxnvzPxfzKN29oUDH9m2hA UuFrLkuoJdHQrrx4yeISvYfmsiik58H_TROIdgU2fIRkLDeGY umeXkIAlZtegxhpq

对代码进行了理解和重写,写出了自己的控制代码.

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity CENTER is

port (clk:in STD_LOGIC;--时钟信号

Ca:in STD_LOGIC;--a端发球信号

Cb:in STD_LOGIC;--b端发球信号

Enable: in STD_LOGIC;--使能信号

a:in STD_LOGIC;--a挥拍信号

b:in STD_LOGIC;--b挥拍信号

ball:out STD_LOGIC_VECTOR(16 downto 0);--模拟球的运行

Sa:out STD_uLOGIC;--a是否获胜

Sb:out STD_uLOGIC;--b时候获胜

over:inout STD_uLOGIC--一局结束提供一个上升沿

);

end CENTER;

architecture behavior of CENTER is

signal direction : integer range 0 to 2; --指定方向0:a->b1:b->a2:未发球

signal num : integer range -1 to 17; --确定那盏灯点亮

begin

process (Enable,clk,a,b,Ca,Cb)

begin

if Enable = '0' then --使能信号未来,初始化

over <= '0';

Sa <= '0';

Sb <= '0';

num <= 1;

direction <= 2;

elsif rising_edge(clk) then--上升沿进行判断

if direction = 2 then--未发球检测发球信号

if Ca = '1' then

direction <= 0;

num <= 1;

elsif Cb = '1' then

direction <= 1;

num <= 8;

else

direction <= 2;

num <= 1;

end if;

elsif direction = 0 and num <= 8 then--球从a->b

if b = '1' then--此时b挥拍

if num >= 6 then--击中,反向

num <= num - 1;

direction <= 1;

Else--未击中,结束

direction <= 2;

Sa <= '1';

Sb <= '0';

over <=not over;

end if;

else num <= num + 1; direction <= 0;--b未挥拍,继续运行end if;

elsif direction = 1 and num >= 1 then--球从b->a

if a = '1' then--a挥拍

if num <= 2 then--a击中,反向

num <= num + 1;

direction <= 0;

Else--未击中,结束

direction <= 2;

Sa <= '0';

Sb <= '1';

over <= not over;

end if;

else direction <= 1; num <= num - 1;--a未挥拍,继续

end if;

end if;

end if;

end process;

process (num)--输出球的运动轨迹

begin

case num is

when 1 => ball<="10000000";

when 2 => ball<="01000000";

when 3 => ball<="00100000";

when 4 => ball<="00010000";

when 5 => ball<="00001000";

when 6 => ball<="00000100";

when 7 => ball<="00000010";

when 8 => ball<="00000001";

when others => ball <="00000000";

end case;

end process;

end behavior;

2.2.2.3 实现效果

与预期相同,证明程序正确

2.2.3 计数模块

2.2.

3.1局比分计数单元

1.单元需求:来自中央控制模块的回合结束信号,以及表示AB胜负关系的01,10信号

2、单元目的:记录单局比分(一局11分)

3、单元管脚:CLK、CLN、QA、QB、QC、QD

4、单元设计思路:利用74161的异步清零实现11进制加法计数器,利用中央控制模块的回合结束信号,以及表示AB胜负关系的01,10信号,通过与门连接后作为计数器的时钟端,则胜利方每回合结束后其计数器会得到一个信号,是计数器加一,当信号给出1011时计数器清零,并通过计数清零单元实现计数器的同时清零

5、单元逻辑图展示:

6、单元波形图展示:

本部分借用显示管显像单元的波形图展示局分计数器的功能

2.2.

3.2大比分计数单元

1.单元需求:局分计数单元在一局比赛结束后发出的信号

2、单元目的:记录双方的大比分

3、单元管脚:CLK、QB、QC、QD

4、单元设计思路:设置本游戏为九局五胜制,利用74290的五进制功能实现五进制加法计数器,由于74161为异步清零,利用最后1011信号作为作为时钟信号,是每局比赛结束后获胜方大比分加一,当总分为5时比赛结束

5、单元逻辑图展示:

6、单元波形图展示:

如图当A1~A11的二极管全亮时(A得11分),QA1由0变1,即大比分加一

2.2.

3.3数据清零单元

1.单元需求:某一方一局得分为11时给出的1011信号

2、单元目的:当一方先得到11分时,双分的比分同时清零

3、单元管脚:CLK、QA、QB、QC、QD

4、单元设计思路:通过与门连接QA、QB、QD端,并由抑或非门连接,当且仅当有一方为1011信号时,异或非的输出端会由1变0,此时传给清零信号,实现清零功能

5、单元逻辑图展示:

6、单元波形图展示:

当A得到11分时,AB的分数同时清零(A1的下一个1的出现是由于下一回合A 又得了一分)

2.2.

3.4信息反馈单元

1.单元需求:比分计数器的清零信号,以及中央控制模块的回合结束信号

2、单元目的:传递给全局控制单元开球信号、每五个回合换发球的信号以及每局开始信号

3、单元管脚:clock、CLK、QA、QB、QC、QD

4、单元设计思路:wave1为每五回合换发球信号,由74290设计的五进制加法

计数器,利用其每五次一个的清零信号设计成一个,wave2为每局开始

时的发球信号,利用局分计数器1011信号,同样可以提供

5、单元逻辑图展示:

6、单元波形图展示:

2.2.

3.5二极管显示单元

1.单元需求:局分计数器和大比分计数器的记分信号

2、单元目的:将二进制数码转换为直观的二极管显示

3、单元管脚:A1~A11、B1~B11

4、单元设计思路:

A1=A+B+C+D

A2=A+B+C

A3=A+B+CD

A4=A+B

A5=A+BD+BC

A6=A+BC

A7=A+BCD

A8=A

A9=AD+AC

A10=AC

A11=ACD

则可以得出逻辑图,同理可得出大比分计数器的二极管显示单元的逻辑电路5、单元逻辑图展示:

6、单元波形图展示:

3 调试过程

3.1 全局控制模块——By 孙一铀

Debug1:

连续四脉冲发生单元还还只处于雏形的时候。逻辑图如图所示:

但无论如何怎么给波形,Qa,Qb,Qc,Qd四个输出端都是平的,如图所示;

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

2017年(数字逻辑)作业-华工

2017年度下半年《数字逻辑》作业 1、将(29.25)10转换成二进制数,写出转换演算过程。 解:∴(29)10=1110122723212余1=a00142余0=a1余1=a2余1=a3余1=a4数制间的转换练习(0.25)10×2=0.5整数=0=a-1MSB0.510×2=1.0整数=1=a-2LSB即(0.25)10=(0.01)2由上两题可得(29.25)10=(11101.01)2 2、详细写出组合逻辑电路的分析步骤?组合逻辑电路的设计步骤? 解: 分析步骤: 1.根据给定的逻辑图,从输入到输出逐级写出逻辑函数式; 2.用公式法或卡诺图发化简逻辑函数; 3由已化简的输出函数表达式列出真值表; 4从逻辑表达式或从真值表概括出组合电路的逻辑功能. 设计步骤: 1仔细分析设计要求,确定输入、输出变量. 2对输入和输出变量赋予0、1值,并根据输入输出之间的因果关系,列出输入输出对应关系表,即真值表. 3根据真值表填卡诺图,写输出逻辑函数表达式的适当形式. 4画出逻辑电路图. 并测试逻辑功能 3、试设计一个裁判表决器。假设在某举重比赛场上有三位裁判官,其中有一位主判官,两位副判官,当运动员举重完成后,由判官按下自己面前的按钮来决定该运动员这一把是否成功。若有两位或两位以上判官通过(其中一位必须是主判官),则表明这位运动员这一把成功。现试用逻辑电路来完成该表决器。 解: 一、确定输入、输出变量 ①输入变量:A、B、C ―――→三名评判员(其中A为主评判员) ②输出变量:Y ―――→灯 ③用正逻辑表示: A=1,表示同意,A=0表示判不同意; B=1,表示同意,B=0表示判不同意; C=1,表示同意,C=0表示判不同意. Y=1,表示灯亮,Y=0表示灯不亮. 二、列出真值表 输入变量输出变量 A B C Y 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 1

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

数字逻辑大作业—电子密码锁

HARBIN INSTITUTE OF TECHNOLOGY 电子密码锁电路设计 课程名称:数字逻辑 学生所在院(系):计算机学院 学生所在专业:计算机科学与技术 小组成员:于志睿1130310717 贾明达J130310701 李家兴1130310714 小组项目:电子密码锁电路设计 任课教师:张彦航 成绩: 2014 年12 月11日

目录 1、设计目的及要求 2、工作原理、系统方框图 3、各部分选定方案及电路组成、相关器件说明 4、调试过程 5、设计结论 6、设计心得与总结 7、参考文献 附录一:总体器件表及相关器件的功能表、管脚分布附录二:总体设计图 附录三:仿真结果 附录四:小组各成员所做工作

1.设计目的及要求 题目:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 附加功能:可以设置密码,通过设置密码按钮SP(set password)来设置,且只能在初始时设置密码,设置好之后 就会锁住存储端不让重新设置。输入密码是要先按输入密码的 按钮IP(in password),然后输入密码。密码输入最多2次, 超过2次就关锁。 2.工作原理、系统方框图 按照设计的要求,输入端有10个密码输入端,一个设置密码的按钮和一个输入密码的按钮。输出端有显示密码是否正确的灯(一个黄灯闪烁表示密码设置好了,绿灯亮表示密码输入正确,红灯亮表示关锁状态)和倒计时的显示端(用七段数码管实现)。 如下图所示:

数字逻辑设计及应用 本科1 答案

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 一、填空题(每空1分,共20分) 1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16; 2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000 余3码 3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ; 4、请问逻辑F=A /B+(CD)/+BE /的反函数F /= A C D E CD B /+ ; 解: ACDE CD B CDE B ACDE CD B CD AB ) E B (CD )B A ()BE )CD (B A ( F ///////////+=+++=+??+=++= 5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 ); 6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑: 7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。 9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y / / ++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:* Q = JQ /+K /Q ; 11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q = S+R /Q ; 14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整 二、选择题(每题1分,共10分) 1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和: ①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码 ③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码 2、逻辑函数式AC+ABCD+ACD /+A /C= ①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D F ①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平参数如下:,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V 5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是: ①.逻辑函数式 ②.真值表 ③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是: ①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器 7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同: ①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器 8、n 位环形计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 9、n 位扭环计数器,其计数循环圈中的状态个(模)数为: ①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个 10、如果用JK 触发器来实现T 触发器,则JK 触发器的驱动端需要做如下的连接: ①.J=K=0 ②.J=K=T ③.J=T;K=T ’ ④.J=T ’;K=T 三、判断题(每题1分,共10分) 1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ ) 2、三态门的附加控制端输入无效时,其输出也无效;( Х ) 3、三态门的三个状态分别为高电平、低电平和高阻态;(√ ) 4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-6

数字逻辑第一章作业参考答案

第一章数字逻辑基础作业及参考答案 () P43 1-11 已知逻辑函数A C C B B A F+ + =,试用真值表、卡诺图和逻辑图表示该函数。解:(1)真值表表示如下: 输入输出 A B C F 0000 0011 0101 0111 1001 1011 1101 1110 (2)卡诺图表示如下: 00011110 0101 1111 由卡诺图可得C B C B A F+ + ==C B C B A? ? (3)逻辑图表示如下: 1-12 用与非门和或非门实现下列函数,并画出逻辑图。 解:(1)BC AB C B A F+ = ) , , (BC AB? = (2)) + (?) + ( = ) , , , (D C B A D C B A F D C B A+ + + = 题1-12 (1) 题1-12 (2) A BC

1-14 利用公式法化简下列函数为最简与或式。 解:(2)C AB C B BC A AC F +++=C AB C B BC A AC +??= C AB C B C B A C A ++?++?+=)()()( C AB C B C C B C A C A B A ++?++++=)()( C AB C C B C B C A C AB C A C B A C B A ++++++++= C AB C C B C B C A C AB C A C B A C B A ++++++++= C = 解(3)DE E B ACE BD C A AB D A AD F +++++++= DE E B BD C A A ++++= E B BD C A +++= 解(5)))()((D C B A D C B A D C B A F +++++++++= D C AB BCD A ABCD F ++=' D C AB BCD +=ABD BCD += D B AC D B A D C B F ++=)++)(++(=∴ P44 1-15利用卡诺图化简下列函数为最简与或式。 解:(3))+++)(+++)(+++)(+++(=D C B A D C B A D C B A D C B A F 方法1:)+++)(+++)(+++(=D C B A D C B A D C B A F ))((D C B A D CD D A D C C A D B C B B B A AD AC B A ++++++++++++++= ))((D C B A D C A B AC ++++++= D C BD AD D C A C A C B A D B C B B A D AC ABC AC +++++++++++= D C BD AD C A D B C B B A AC +++++++= 方法2:D C AB CD B A D BC A F ++= F 的卡诺图

哈工大数字逻辑大作业

汽车尾灯控制器 信安一班 1150320101-孙晨1150810613-李秋豪1152210121-路祥鹏 (按笔画顺序排列) 注:任何人可以自由的复制、修改、分发本文。但是如果您的版本中含有附录的参考图片:1.在用于非商业、非盈利、非广告性目的时需注明作者及出处“百度百科”。2.在用于商业、盈利、广告性目的时需征得作者同意,并注明作者姓名、授权范围及出处“百度百科”。GMT+8 2016-12-07 20:20

一、目录 设计要求------------------------------------------------------------- 3 工作原理,系统方框图----------------------------------------------- 3 各部分选定方案及说明----------------------------------------------- 5 总体设计图与仿真结果----------------------------------------------- 9 设计心得与总结------------------------------------------------------ 17 参考文献------------------------------------------------------------- 17 附录:总体器件表及其功能表、管脚分布----------------------------- 18

二、设计要求 设计一个汽车尾灯控制器。汽车尾部左右两侧各有3个指示灯。根据汽车运行情况,指示灯有4+2种显示模式: (1)汽车正向行驶,所有指示灯全部熄灭。 (2)汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮。 (3)汽车左转弯,左侧的三个指示灯按左循环模式顺序点亮。 (4)临时刹车,左右两侧的指示灯同时处于闪烁状态。 (5)倒车状态,右侧的三个指示灯按右循环模式顺序点亮,同时左侧的三个指示灯按左循环模式顺序点亮。 (6)故障状态,所有灯全亮且不闪烁。 三、工作原理,系统方框图 大致原理:(详细介绍见第四部分) 该器件有六种状态,所以应该使用三个开关状态L1、L2、L3来表达。 对于正常行驶状态,灯全灭,即对所有的灯给低电平。 对于汽车右转弯,右侧的三个指示灯按右循环模式顺序点亮,可以采取三进制计数器(十进制改造)+三八译码器来实现,其中三进制计数器的输出作为译码器的输入,译码器的输出决定三个右侧灯的亮暗。 对于汽车左转弯,原理同汽车右转弯的实现方法。 对于临时刹车,可以使用CP信号直接决定六个灯的亮暗,达到闪烁的目的。 对于故障状态,对所有的灯置高电平。

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

福师《数字逻辑》在线作业二答案

A. 周期 B. 占空 比 C. 脉宽 福师《数字逻辑》在线作业 一、单选题: 1. 一个无符号 4 位权电阻 DAC ,最低位处的电阻为 40K Ω, 则最高位处电阻为 ( ) 分: 2) A. 4K Ω B. 5K Ω C. 10K Ω D. 20K Ω 正确答案 :B 2. 74LS160 十进制计数器它含有的触发器的个数是 ( ) (满分: 2) A. 1 B. 2 C. 4 D. 6 正确答案 :C 3. CMOS 数字集成电路与 TTL 数字集成电路相比不具备的优点是 ( ). (满分: A. 微功耗 B. 高速度 C. 高抗干扰能 力 D. 电源范围宽 正确答案 :B 4. A+BC= ( ). A. A+B B. A+C 满分: 2) C. (A+B )(A+C ) D. B+C 正确答案 :C 5. 以下代码中为无权码的为 ( ). A. 8421BCD 码 B. 5421BCD 码 C. 余三码 D. 2421 码 满分: 2) 正确答案 :C 6. 下列逻辑电路中为时序逻辑电路的是 ( ) (满分: 2) A. 变量译码器 B. 加法器 C. 数码寄存器 D. 数据选择器 正确答案 :C 7. 不属于矩形脉冲信号的参数有 ( ). 满分: 2)

D. 扫描期正确答案:D 8. 在何种输入情况下,“或非”运算的结果是逻辑1( ). (满分:2) A .全部输入是0 B .全部输入是1 C . 任一输入为0 ,其他输入为1 D . 任一输入为1正确答案:A 9. 一片四位二进制译码器,它的输出函数有( ) (满分:2) A. 1 B. 8 C. 10 D. 16 正确答案:D 10. 欲设计0,1,2,3,4,5,6,7 这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用( ) 级触发器(满分:2) A. 2 B. 3 C. 4 D. 8 正确答案:B 11. 和二进制数(1100110111.001) 等值的十六进制数学是( ) 。 (满分:2) A. 337.2 B. 637.2 C. 1467.1 D. c37.4 正确答案:A 12. 多谐振荡器可产生( ) (满分:2) A.正弦波 B.矩形脉 冲 C.三角波 D.锯齿波 正确答案:B 13. 在何种输入情况下,“与非”运算的结果是逻辑0( ). (满分:2) A .全部输入是0 B .任一输入是0 C .仅一输入是0 D . 全部输入是1正确答案:D 14. 8 位移位寄存器,串行输入时经( ) 个脉冲后,8 位数码全部移入寄存器中 (满分:2) A. 1 B. 2 C. 4

大一数字逻辑大作业

大一数字逻辑大作业 ——乒乓球比赛模拟机的设计 题目:乒乓球比赛模拟机的设计 院系:软件学院 专业年级: 14 姓名与学号:王峥 1143710510 孙一铀 1143710513 周擎阳 1143710312 指导老师:张彦航 2015年6月18日

目录 1 绪论 1.1设计目的 (3) 1.2设计要求 (3) 2 电路组成及工作原理 2.1系统逻辑模型 (4) 2.1.1系统模块需求 (4) 2.1.2组员分工 (4) 2.2系统模块结构 (4) 2.2.1全局控制模块 (4) 2.2.1.1连续四脉冲发生单元 (5) 2.2.1.2数据初始化单元 (6) 2.2.1.3倒计时显像单元 (7) 2.2.1.4 开球信号产生单元 (8) 2.2.1.5 模块总体设计展示 (9) 2.2.1.4.1逻辑图 (9) 2.2.1.4.1波形图 (10) 2.2.2中央控制模块 (10) 2.2.2.1程序综述 (10) 2.2.2.2 代码实现 (11) 2.2.2.3实现效果 (13) 2.2.3计数模块 (14) 2.2.3.1局比分计数单元 (14) 2.2.3.2大比分计数单元 (15) 2.2.3.3数据清零单元 (16) 2.2.3.4信息反馈单元 (17) 2.2.3.5显像管显示单元 (18) 3 调试过程 3.1全局控制模块 (20) 3.2中央控制模块 (24) 3.3计数模块 (26) 4 设计结论 (27) 5 设计心得与总结 (28) 附录一:总体器件表及相关器件的功能表、管脚分布 (29) 附录二:总体设计图 (30) 附录三:仿真结果 (32) 附录四:工作说明 (32) 参考文献 (32)

电子科技大学数字逻辑设计及应用作业答案

答案+我名字 查看考卷——作业提交1 当前得分:15 分(总分:100 分),折合成百分制共 15 分。 返回 作业提交1 1. 逻辑函数 ,请问其反函数 。 () () () [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分 2. 有关异或逻辑的描述不正确的是 。 () 异或逻辑的反函数是同或逻辑 () 异或逻辑的对偶逻辑是同或逻辑 () 一个逻辑变量和0异或得到的是其反函数 [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分

3. 已知一个逻辑的最小项之和为F(, , )=∑m(0,4,7) ,以下哪个等式成 立。 () () () [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 4. 下面所描述的逻辑函数表达式中,哪一种可以直接从真值表中得到,而 无需其他中间步骤。 () 标准形式 () 最简与或式 () 与非-与非形式 [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 5. 下面有关最小项的描述正确的是。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 6. 下面有关逻辑函数的描述中,正确的是。

() 逻辑函数的最小项之和是唯一的 () 逻辑函数的最简与或表达式是唯一的 () 逻辑函数的与非-与非逻辑表达式是唯一的[参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 7. 请问或非逻辑的对偶关系是。 () 或非逻辑 () 与非逻辑 () 与或非逻辑 [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 8. 逻辑函数,请问其最小项之和为。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 9. 逻辑函数Y(, , , )=∑m(0,2,4,6,9,13) + (1,3,5,7,11,15)的最简与 或式为() () +’’

2020秋西安电子科技大学《数字逻辑电路》大作业期末试题及答案

学习中心/函授站_ 姓名学号 西安电子科技大学网络与继续教育学院 2020 学年下学期 《数字逻辑电路》期末考试试题 (综合大作业) 题号一二三四总分 题分30 10 30 30 得分 考试说明: 1、大作业试题于2020 年10 月15 日公布: (1)毕业班学生于2020 年10 月15 日至2020 年11 月1 日在线上传大作业答卷; (2)非毕业班学生于2020 年10 月22 日至2020 年11 月8 日在线上传大作业答卷; (3)上传时一张图片对应一张A4 纸答题纸,要求拍照清晰、上传完整; 2、考试必须独立完成,如发现抄袭、雷同均按零分计; 3、答案须用《西安电子科技大学网络与继续教育学院标准答题纸》手写 完成,要求字迹工整、卷面干净。 一、单项选择题(每小题2 分,共40 分) 1、下列各数中与十进制数101 不相等的数是( D )。 A.(0100 0011 0100)余3BCD B.(141)8C.(1100101)2D.(66)16 2、八进制数(35)8的8421BCD 是( B )。 A.0011 1000B.0010 1001C.0011 0101D.0010 1100 3、为使与非门输出为1 则输入( A )。 A.只要有0 即可B.必须全为0C.必须全为1D.只要有1 即可 4、函数F AC BC AB与其相等的表达式是( B )。 A.BC B.C+AB C.AC AB D.AB

5、使函数F AB AC BC 等于 0 的输入 ABC 的组合是( C )。 A .ABC=111 B .ABC=110 C .ABC=100 D .ABC=011 6、四变量的最小项ABCD 的逻辑相邻项是( A )。 A .ABCD B .ABCD C .ABC D D .ABCD 7、函数F ABC B .C (A D )BC 的对偶式是( C )。 A . G (A B C )(B C )(AD B C ) B .G A B C (B C )AD B C C .G A B C (B C )(A D B C ) D .G A B C (B C )A D B C 8、F A B C ADE BDE ABC 的最简式为( A )。 A .1 B .ABC DE C .ABC D .A+BDE+CDE 9、 函数F AC BC AB 的最简与非式为( D )。 10、 函数F A B C A .B .D AC ACD AB .D 的最简与或式为 ( D )。 A .F BC AD B .F B C AD C .F AB AC BD D .F AB AC BD 11、 函数F (ABCD ) (0,1,3,4,5,7,12),约束条件为BCD ABD 0 ,其 最简与或非式是( C )。 A .F A .C AD BD B .F CD AB AD A . B . C . D . B A B A C B C B B A . C A B A . . A B C A

相关主题
文本预览
相关文档 最新文档