当前位置:文档之家› 广工数电课程设计(四人抢答器)

广工数电课程设计(四人抢答器)

广工数电课程设计(四人抢答器)
广工数电课程设计(四人抢答器)

目录

一、设计目的 (2)

二、设计任务与要求 (2)

1、设计任务 (2)

2、设计要求 (2)

三、四人智力竞赛抢答器电路原理及设计 (3)

1、设计方案 (3)

2、系统框图 (3)

3、方案比较 (4)

方案1 (4)

方案2: (4)

方案3: (4)

4、单元电路设计及元器件选择 (4)

(1)抢答电路 (4)

(2)定时电路 (7)

(3)报警电路 (9)

(4)时序控制电路 (10)

(5)元器件列表 (12)

5、四路抢答器总电路图 (13)

四、设计过程中的问题和解决办法 (13)

五、设计成品的优点与不足 (14)

六、心得体会 (14)

七、实物图 (15)

1、正面 (15)

2、反面 (16)

四人智力竞赛抢答器

一、设计目的

1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。

2.熟悉数字集成电路的设计和使用方法。

二、设计任务与要求

1、设计任务

设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。

2、设计要求

(1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。

(2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。

(3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。

(4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

(6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。

三、四人智力竞赛抢答器电路原理及设计

1、设计方案

抢答器具有锁存、定时、显示和报警功能。即当抢答开始后,选手抢答,按动按钮,锁存器锁存相应的选手编码,同时用LED 数码管把选手的编码显示出来,并且暂停抢答时间的倒计时,同时用LED 数码管把选手的所剩抢答时间显示出来。

在选手按键抢答成功后或者抢答时间倒计时为零的时候都有1秒钟的报警以提醒主持人和选手。抢答的有效时间设定9秒,报警响声持续1秒。

接通电源后,主持人将开关拨到“清除”状态,抢答器处于禁止状态,编号显示器显示“0”,定时器显示设定时间“9”;主持人将开关置“开始”状态,宣布“开始”,抢答器工作,定时器倒计时。选手在定时时间内抢答时,抢答器完成该功能:优先判断、编号锁存、编号显示、倒计时锁定、扬声器提示。倒计时电路的数码管显示剩余时间,另一个数码管显示选手编号。

如果在抢答时间内没有选手抢答,则倒计时电路数码管显示数字“0”,另一数码管也显示“0”,即1至4号选手都没有抢答。同时音响电路给出1秒音响提示。

当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示剩余时间。如果再次抢答必须由主持人再次操作“清除”和“开始”状态开关。

2、系统框图

当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。

当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。

若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。 如图1:

主持人

选手

图1系统框图

3、方案比较

方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出,用74ls190完成倒计时电路,用一个555产生时钟信号提供给抢答电路,再接一个分频器,把分频后的时钟信号接给倒计时电路。

分析:用CD4511虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。

方案2:采用4D触发器74ls175和译码器来完成抢答部分.用74ls190完成倒计时电路的功能,用晶振产生脉冲,经分频电路,接到抢答电路和倒计时电路。

分析:74ls190为单时钟计数器,而且没有异步清零端。用晶振产生的脉冲更加精确,但是本设计不需要很精确的时钟秒冲信号,而且用到晶振要经过两次不同的分频,需要额外的两块芯片进行分频,增加成本。

方案3:采用4D触发器74ls175和译码器来完成抢答部分.用74ls192完成倒计时电路的功能,用两个555产生脉冲。

分析:用两个555产生时钟信号,可以单独设置每个时钟的频率,而且可以避免由一个时钟分频到抢答电路和倒计时电路时产生的跳线问题。所用元件也不是很多,而且可以完美实现题目的功能要求。

经过对比3个方案的优缺点,决定采用成本较低、跳线少、功能易实现的方案3。然后利用软件multism来进行仿真调试,再进行逐步改进。

4、单元电路设计及元器件选择

(1)抢答电路

电路如图2所示。

该电路完成两个功能:一、是分辨出选手按键的先后,并锁定。74LS175的四个输出端Q1、Q2、Q3、Q4分别表示选手1、2、3、4的编号,经过74LS32或门后连接到74LS47的A、B、C输入端,完成编码过程,同时译码显示电路74LS47让数码管显示选手编号;二、是要通过先抢答的选手的输出电平信号使其他选手随后的按键操作无效。

图2 抢答电路

该电路的工作原理为:当主持人控制开关处于“清除”时,D触发器的清零端为低电平,使D触发器被强制清零,输入的抢答信号无效。

当主持人将开关拨到“开始”时,D触发器Q非端前一状态为高电平,四个Q非端经过与门U9B为高电平,再和555脉冲信号信号和借位信号经过与门U9A仍为高电平,再送到D触发器的脉冲端。

当没人抢答时,Q1、Q2、Q3、Q4都为低电平,Q1非、Q2非、Q3非、Q4非都为高电平。与门U9B输出端为高电平,和555的方波脉冲信号相与仍为脉冲信号,送给D触发器脉冲端。

当一有人抢答时(例如一号选手),D1输入端为高电平,该信号在时钟输入的脉冲信号的上升沿到来时进入锁存器,然后该选手的编号通过74LS32和47LS47显示在数码管中。同时Q1非输出为低电平,经过与门U9B 后仍为低电平,经过与门U9A后使锁存器74LS175的脉冲输入端保持低电平,封锁了四个输入端的输入,同时保持了输出端的电平信号不变。这样不仅使得其他选手按键的输入信号不会被接收,而且抢答成功的一号选手的编号也被保存下来,直到主持人“清零”。这就保证了抢答者的优先性及抢答电路的准确性。

当选手回答完毕,主持人控制开关S是抢答电路复位,以便进行下一轮抢答。

四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化成8421BCD码,进而送给7段显示译码器。其真值表a:

表a锁存编码真值表

锁存器输出编码器输入

Q

Q3Q2Q1D C B A

4

00010001

00100010

01000011

10000100由该表可以看出,747LS175不能直接与74LS47连接,因为Q3=1时,对应的编码器输入A=1,B=1。这时就通过二输入或门74LS32使锁存、编码一一对应(如图2)。编码器的D输入端不需要,接地使其为0。

74LS175的逻辑引脚图与功能表如下:

图1-6 74LS175的逻辑引脚图

74LS175是一个单向上升沿触发的四D 触发器、互补输出,有公用的时钟和公用的清零。时钟的触发产生于特定的电压电平上,同脉冲的正跃变时间无直接关系。当CLK引脚输入上升沿时,1D-4D被锁存到输出端(1Q-4Q)。不管时钟输入的电平是高或是低,D 输入信号不影响输出。清零端CLR为低电平有效,即该引脚接低电平后,四个输入信号无效,四个输出为为低电平。只有CLR接高电平时,74LS175才能正常工作。

表b 74LS175功能表

备注:R D即仿真图中的CLR,CP即仿真图中的CLK。

锁存电路的脉冲信号:由555产生的140HZ的脉冲信号,为74LS175的CLK端提供方波脉冲,使其能正常工作。

(2)定时电路

如图3。

定时9秒,把74LS192对应的9,10,1,15四个端子预置为“1001”。当复位开关按下时,给74ls192一个低电平,从而开始倒计时,每来一个脉冲信号进行减计数一次。当有选手抢答或借位信号时,就使得74ls192的输入脉冲变成低电平,从而实现倒计时的停止。再按复位键时,再一次倒计时。

图3 定时电路

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能。LOAD为预置数端,低电平时把输入端置成预置数;CLR为清零端;UP为加计数端;DOWN为减计数端;BO为借位输出(低电平输出);CO为进位输出端(低电平输出)。

计数器的时钟脉冲:由秒脉冲电路555提供,其脉冲频率为1HZ,即1秒变

化一个周期。

它基本原理是,由于电容C的两端的电压不能突变,定时器的2端电压低

触发端为低电平,输出端3为高电平。电源经过R1、R2给电容C充电,当电容

的电压充到电源电压的2/3时,555内部的MOS管导通,输出为低电平。接

着电容通过R2和已经导通的MOS管放电,当电容的两端电压下降到低于1/3

的电源电压时,MOS管截止电容放电停止,此时电源通过R1、R2再次向电容

充电,如此反复,形成震荡,从而在3端得到时钟脉冲源输出。

图4 信号发生电路555产生的脉冲信号的周期计算公式:T=0.69*(R1+R2)* C

(3)报警电路

由74ls121和蜂鸣器构成的报警电路,但仿

真中没有74ls121,因此用功能相同的

MONOSTABLE VIRTUAL(后文简称A1)来

代替仿真,如图4所示。其中A1的脉冲输入端

是由复位信号和Q非的与信号和借位信号与在

一起来提供的。当其中一个信号为低电平时,

使得A1得到一个下降沿脉冲,从而使A1的Q端输出一个宽度为一秒的高电平,那么蜂鸣器就会响一秒。反之,电路停振,蜂鸣器不响。

图4 报警电路

74ls121为不可重复的单稳

态触发器,一旦被触发进入暂稳

态以后,再加入触发脉冲不会影

响电路的工作过程,必须在暂稳

态结束以后,它才接受下一个触

发脉冲而转入暂稳态。输出脉冲的宽度由Rext和Cext的大小决定。蜂鸣器的音响为1秒,由公式t w=0.69 * Rext * Cext,设置74LS121的外接电阻和外接电容的值。

蜂鸣器:有绿色电路板的一种是无源蜂鸣器,没有电路板而用黑胶封闭的一种是有源蜂鸣器。有源蜂鸣器

内部带震荡源,所以只要一通电就会叫而无源内部不带震荡源,所以如果用直流信号无法令其鸣叫。必须用2K-5K 的方波去驱动它。

另外,为了加大蜂鸣器的音量,用一个三极管驱动它。三极管接成共集电极输出形式,电压跟随,增大电流。(4)时序控制电路

时序控制电路是抢答器设计的关键,它要完成以下三项功能:

1)主持人将控制开关拨到“开始”位置时,抢答电路和定时电路进入正常抢答工作状态。

2)当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。

3)当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。

图5 抢答与定时时序控制电路

根据上面的功能要求,设计的时序控制电路如图5所示。

工作原理是:主持人控制开关从“清除”位置拨到“开始”位置时,74LS175的输出Q!=1,U9B输出为1,借位信号为1,使U10A输出为1,则时钟信号CP能够加到74LS192的时钟输入端,定时电路进行递减计时。同时,在定时时间未到时,则74ls192的借位信号为1,U9A和U10A的输出为1,使74LS175和74ls192处于正常工作状态,从而实现功能(1)的要求。当选手在定时时间内按动抢答键时,Q!=0,U9B输出为0,封锁CP 信号,则U10A输出为0,定时器停止倒计时处于保持工作状态;同时,U9A的输出为0,使74ls175锁存。也使U10B输出为0,给了74ls121一个下降沿,使74LS121处于工作状态,给蜂鸣器一个一秒的高电平,从而实现功能(2)的要求。当定时时间到时,则74ls192的借位信号为0,U10B输出为0,有给了74ls121一个下降沿,使74LS121处于工作状态给蜂鸣器一个一秒的高电平。同时U9A输出也为0,使74ls175锁存,禁止选手进行抢答。同时,U10A输出为0,封锁CP信号,使定时电路保持0状态不变,从而实现功能(3)的要求。

(5)元器件列表

5、四路抢答器总电路图

图6 总电路图

四、设计过程中的问题和解决办法

(1)在用面包板测试电路功能时,刚开始发现蜂鸣器完全不响,然后上网找了几个74ls121的连接电路,发现还是不行。然后就查看数电数,知道如何连接了。但是蜂鸣器的声音太小了,于是又采用三极管来放大电流。终于使它发出1秒的响亮声音。

(2)在焊接电路的过程中,尽管已经画了元件分布草图,但是依然有焊接错漏,后来仔细检查了一番,把一个没接地的接上,把一个焊错的改过来了。

(3)当焊完电路调试时,最大的问题出现了,抢答信号不能锁存,于是我花了好几天的时间去解决这个问题。最后我把之前的用于抢答的单刀双掷开关换成了复位开关,就成功了。

五、设计成品的优点与不足

优点:跳线少,看起来不乱;元器件较少,功能满足要求。

不足:当没选手抢答,定时信号到“0”时,蜂鸣器的发声有一点延迟。

六、心得体会

因为觉得抢答器比较有趣,所以选了它。在确定题目之后,我花了很多时间在网上找资料,然后把其中有用的摘录下来。后来实践证明,充足的准备确实能带来很多好处,减少制作中的麻烦。

但是就算是一个简单的设计,也没把握完全不遇到任何麻烦,而一次性成功。所以在焊接过程中出现了两个低级的错误。因为有多次的焊接经验,所以在焊接之前都习惯画元件的分布图。这样虽然会花多一些时间,但是却能减少焊接的错误,并且让跳线尽量少,使电路的器件分布,焊接等看起来更加美观。这就是磨刀不误砍材工的道理吧。

这个电路是比较复杂的,所以在焊接时比较费时,耗精力。也算是对个人耐心的一种磨练吧。最后出现的不能锁存信号的问题,让我烦了好几天。在检查电路,确定没有焊接错误之后,问题依然没有得到解决,然后就郁闷了,有点想放弃的感觉。为了解决问题,就去找资料对比,最后把抢答开关换成复位开关,设计就成功了。真是山穷水复疑无路,柳暗花明又一村。从中,我体会到了,遇到问题时,首先要冷静,不要心浮气躁,然后在认真地查找原因,一点一点地排查问题,最终找到解决问题的办法。

通过这次课程设计,不仅焊接技术得到了进一步锻炼,对各种芯片,比如74ls175,,7ls192,74ls121,74ls47,555等,都有了比较深入的了解。同时,也发现自己对课本知识的掌握很不足,所以课程设计也是一次让我巩固课本知识的实践机会。

七、实物图

1、正面

2、反面

如有侵权请联系告知删除,感谢你们的配合!

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

四人智力抢答器的设计

四人智能抢答器设计报告

目录 摘要---------------------------------------------------------------------------3前言---------------------------------------------------------------------------4第一章设计要求----------------------------------------------------------5 1.1设计任务-------------------------------------------------------------5 1.2基本要求-------------------------------------------------------------5 1.3选用器材-------------------------------------------------------------5 第二章系统工作原理------------------------------------------6 2.1系统的工作原理-----------------------------------------------------6 第三章电路设计--------------------------------------------------------------7 3.1方案的选择-----------------------------------------------------------7 3.1.1方案一----------------------------------------------------------7 3.1.2方案二----------------------------------------------------------7 3.1.3确定方案-------------------------------------------------------7 3.2单元电路设计--------------------------------------------------------8 3.2.1以锁存器为中心的编码显示电路----------------------------------------------8 3.2.2倒计时显示电路的设计---------------------------------------------8 3.2.3报警电路的设计---------------------------------------------9 3.2.4脉冲产生电路的设计----------------------------------------------10 3.3整体电路--------------------------------------------------------10 3.4 555多谐振荡器的脉冲波形图--------------------------------------------------------11 3.5电路元件选择--------------------------------------------------------12 第四章电路实验与调试---------------------------------------------------13 4.1焊接--------------------------------------------------------------------13 4.2调试中出现的问题及解决-----------------------------------------13 4.3P C B板图--------------------------------------------------------14 4.4焊接的实物图--------------------------------------------------------14 第五章设计总结-----------------------------------------------------------15 参考文献-----------------------------------------------------------15

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

基于stm32的四路抢答器课程设计说明书大学论文

课程设计说明书题目:基于STM32的四路抢答器 学院: 年级专业: 学号: 学生姓名: 指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。在我们各种竞赛中我们也经常能看到有抢答的环节,某些举办方采用让选手通过举答题板的方法判断选手的答题权,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,而抢答器的应用就能避免这种弊端。今天随着科技的不断进步抢答器的制作也更加追求精益求精,人们摆脱了耗费很多元件仅来实现用指示灯和一些电路来实现简单的抢答功能,使第一个抢答的参赛者的编号能通过指示灯显示出来,避免不合理的现象发生。但这种电路不易于扩展,而且当有更高要求时就无法实现,例如参赛人数的增加。随着数字电路的发展,数字抢答器诞生了,它易于扩展,可靠性好,集成度高,而且费用低,功能更加多样,是一种高效能的产品。而如今在市场上销售的抢答器大多采用可编程逻辑元器件,或利用单片机技术进行设计。 本文实现了一个基于嵌入式STM32单片机的4路抢答器系统设计,本系统设计主要分为硬件设备和软件控制两大部分。外部硬件使用STM32单片机作为控制中心,用4个按键作为抢答输入,抢答开始后,抢答成功者的LED灯标识为红色闪烁,并且显示各抢答输入的时间。 关键词:智能抢答器,STM32,按键输入,数码管显示

目录 摘要 (2) 目录 (3) 第1章绪论 (5) 1.1课题研究的相关背景 (5) 1.2选题的目的和意义 (5) 1.3课题研究的内容 (5) 1.4国内外研究现状 (6) 1.5抢答器目前存在的主要问题 (6) 第2章抢答器的系统概述 (7) 2.1系统的主要功能 (7) 2.2抢答器的工作流程 (7) 2.3STM32的功能及简介 (7) 2.4抢答器的优点及组成 (10) 2.5本章小结 (11) 第3章系统的硬件设计与开发 (11) 3.1系统硬件总体设计 (11) 3.2STM32最小系统 (12) 3.4时钟频率电路的设计 (14) 3.5复位电路的设计 (15) 3.6数码管显示 (16) 3.7键盘电路的设计 (16) 3.8LED电路 (18) 3.9本章小结 (18) 第4章系统的软件设计与开发 (19) 4.4主要程序分析 (20) 4.5本章小结 (29) 第5章总结与展望 (30) 5.1总结 (30)

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

数字电子课程设计_4路抢答器课程设计报告

一、设计题目 题目:四人智力竞赛抢答器 二、设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)可用石英晶体振荡器或者555定时器产生频率为1H z的脉冲信号,作为定时计数器的CP信号。 三、原理电路设计: 1、方案比较; 方案一: 抢答电路:使用74ls175作为锁存电路,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,74ls175立即被锁存,同时蜂鸣器鸣叫1s,这时抢答无效,使用74ls148作为编码器,对输入的型号进行编码,输出4位的BCD码,再将这四位的BCD码输入共阴数码管里显示出抢答者的编号。 主持人电路:;利用74ls190计数器作为倒计时的芯片,当主持人按下抢答按钮时,74ls190被置九,同时将显示上次抢到题目的选手编号的数码管清零,并开始倒计时,,并通过74ls48编码器将即时时间进行编码,并送到7段共阴数码管,显示此时的时间。假如在9秒内有人抢答,则计数器停止倒计时,将锁存器锁存,禁止选手抢答,蜂鸣器鸣叫一秒,停止倒计时。 方案二: 锁存电路采用CD4042来触发,如果用CD4042,则可以用低电平触发,当有人抢答时,利用锁存器的输出信号号将时钟脉冲置零,CD4042 立即被锁存,同 RC端来将时蜂鸣器鸣叫1s,这时抢答无效。此外当倒计时到0时,利用借位0 锁存器的信号置零。而不是像方案一那样使用max/min端。

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

数电四人抢答器

电子技术课程设计课题:数电四人抢答器 院系:电气与电子工程学院 专业:电气信息类 班级:电气1201班 姓名:周***** 学号:12******** 武汉轻工大学 2014年6月15日

目录 绪论 (2) 一、设计任务和要求 (2) 1.1 设计任务 (2) 1.2 设计要求 (2) 二、方案设计与论证 (3) 2.1 抢答器的基本工作原理 (3) 2.2 系统框图 (3) 2.3 方案比较 (4) 三、单元电路设计 (4) 3.1 抢答器控制电路 (4) 3.2 编码器电路的设计 (5) 3.3 定时电路 (6) 3.4 复位电 (7) 3.5 报警电路 (8) 3.6 时序控制电路 (9) 3.7 译码器电路和数码管显示电路的设计 (10) 四、总电路工作原理及元器件清单 (10) 4.1 总电路原理图 (10) 4.2 元件清单 (12) 五、硬件电路的调试 (13) 5.1 仿真软件简介 (13) 5.2仿真结果 (14) 六、设计过程中的问题和解决办法 (14) 七、设计成品的优点与不足 (14) 八、结论与心得 (15)

绪论 基于数字电子技术的设计创新和产品创新看起来似乎永无止境,而且它们也的的确确在方方面面日益完善和丰富着我们每个人的日常生活和工作。随着科技的进步和社会的发展,现代电子产品设计越来越注重产品的易使用型,人机界面一定要良好。声音、图象等作为人类交往的最重要手段,也被体现在电子产品设计中。采用一颗语音芯片,让产品开口说话,可以起到强化宣传品牌、指导用户使用、故障紧急提示、娱乐等功能,使产品设计新颖实用、先声夺人、出奇制胜。 一、设计任务和要求 1.1设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 1.2设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

四人智力竞赛抢答器资料

四人智力竞赛抢答器

4人智力竞赛抢答器 内容摘要: 该抢答器用数字显示抢答倒计时时间,由“9”倒计到“0”时,蜂鸣器连续响0.5秒。选手抢答时,显示选手号,同时蜂鸣器响1秒,倒计时停止。 该电路采用石英晶体振荡器产生频率为1Hz的脉冲信号,起振快,定时精度高,使用方便。 抢答器电路:该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。 定时电路:节目主持人根据抢答题的难易程度,设定一次抢答的时间,通过预置时间电路对计数器进行预置。 抢答具有数据锁存功能。并将所存数据用LED七段数字显示器显示出来。同时蜂鸣器发出间歇式声响持续时间为1秒。主持人清零后声音提示会立即停止。 音响电路:扬声器发生指示开始抢答、参赛选手按键抢答选中、无人抢答且抢答时间到。 时序控制电路:时序控制电路是抢答器设计的关键,它要完成以下三项功能: ①主持人将控制开关拨到“开始”位置时,扬声器发声,抢答电路和定时电路进入正常抢答工作状态。 ②当参赛选手按动抢答键时,扬声器发声,抢答电路和定时电路停止工作。 ③当设定的抢答时间到,无人抢答时,扬声器发声,同时抢答电路和定时电路停止工作。 一、设计内容及要求: 1. 设计内容:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。 2. 设计要求: 1)4名选手编号为;1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应, 也分别为1,2,3,4。 2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的 开始。 3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,改选手 编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封 锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系 统清零为止。 4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,要求定时器开始

数电课程设计——四人抢答器

课程设计说明书 课程名称:数字电子技术、模拟电子技术 设计题目:四人抢答器 院系:电子信息与电气工程学院 学生姓名:曹光宇 学号:0021 专业班级:电子信息工程2010级1班 指导教师:胡万里 2012年5月25日

课程设计任务书设计题目四人抢答器 学生姓名曹光宇所在院系电子信息与电 气工程学院 专业、年级、班 电子信息工程 2010级1班 设计要求: 1、设计制作一个可容纳四个组参赛的抢答器,每组一个抢答开关; 2、设置一个抢答开始按键,同时设置抢答定时电路,且计时起点与抢答命令同步,计时终点是第一个抢答者的抢答信号到来,超时而无人抢答题目作废; 3、系统具有第一抢答信号鉴别和锁存功能,主持人发布抢答命令后,第一抢答者按下抢答键后,电路应记下第一抢答者的组别,并封锁其他各组的抢答信号,即其他任何一组的抢答信号都不会使电路响应; 4、系统采用声光指示第一抢答者:用扬声器提示第一抢答者产生;用发光二极管指指示第一抢答者。 学生应完成的工作: 设计一个四人抢答器的电路,并利用Multisim软件进行电路仿真。利用DXP 软件绘制电路原理图,并设计制作电路的PCB板。根据设计原理对电路进行安装、调试,完成课程设计工作,并提交课程设计报告。 参考文献阅读: [1]童诗白.模拟电子技术基础[M].北京:高等教育出版社,2005. [2]臧春华.电子线路设计与应用[M].北京:高等教育出版社,2005. [3]邱关源,罗先觉.电路(第五版)[M].北京:高等教育出版社,2006. [4]阎石.数字电子技术(第五版)[M].北京:高等教育出版社,2005. [5]张阳天,韩异凡Protel DX P电路设计[M].北京:高等教育出版社,2005. 工作计划: 5月14号—16号完成原理图的设计;5月17号—28号进行PCB设计;5月21号—23号制作PCB 板;5月24号—25号电路板安装与调试,提交课程设计报告。 任务下达日期: 2012 年 5 月 14 日 任务完成日期: 2012 年 5 月 25 日 指导教师(签名):学生(签名):

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

数字电路课程设计 四人智力竞赛抢答器

题目 一、设计任务和要求: 1.设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器连续响0.5秒。选手抢答时,数码显示选手组号,同时蜂鸣器响0.5秒,倒计时停止。 2.设计要求 (1)、4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)、给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)、抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续0.5秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续0.5秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。

(5)、如果抢答定时已到,却没有选手抢答时,本次抢答无效。系 统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)、用石英晶体振荡器产生频率为1H z 的脉冲信号,作为定时计 数器的CP 信号。 二、总体方案选择: 电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒 计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经4线7段译码器将数字显示在显示器上同时产生音响。主持人宣布开始抢答时,倒计时电路启动由9计到0,如有选手抢答,倒计时停止。电路系统结构如图2-4: 三、单元电路设计 1、控制电路 1) CD4511器件简介 CD4511是一块BCD-十进制七段译码/驱动器课本上不曾讲过,它 带有锁存端口,其功能比书上我们学过的74HC4511CMOS 七段显示时序控制电路 数码显示 优先编码器 锁存器译码器 选手 脉冲电路 定时电路 译码器 数码显示 扬声器 主持人

四路智力竞赛抢答器设计

吉林建筑大学 电气与电子信息工程学院 数字电子技术课程设计报告 设计题目:四路竞赛抢答电路 专业班级:信工131班 学生姓名: 学号: 指导老师: 设计时间: 教师评语: 成绩:评阅老师日期

前言 关于这次设计的用于多人竞赛抢答的器件,在现实生活中很常见,尤其是在随着各种智益电视节目的不断发展,越来越多的竞赛抢答器被用在了其中,这种抢答器的好处是不仅能够锻炼参赛选手的反应能力,而且能增加节目现场的紧张、活跃气氛,让观众看得更有情趣。可见抢答器在现实生活中确实很实用,运用前景非抢答器的设计与制作智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短时间内,使人们增加一些科学知识和生活常识。常广泛。 在知识竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一位选手先答题,必须要有一个系统来完成这个任务。如果在抢答中,只靠人的视觉是很难判断出哪组先答题。这次设计就是用几个触发器以及三极管巧妙的设计抢答器,使以上问题得以解决,即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题。本文主要介绍了抢答器的工作原理及设计,以及它的实际用途。

目录 前言 (4) 一 . 课程设计目的 (5) 二 . 课程设计题目与内容 (5) 三 . 系统设计方案 (7) 四 . 电路工作原理 (8) 五 . 单元电路设计参数计算及元器件选择 (11) 六 . 完整电路图 (16) 七 .需要的元器件清单: (17) 八 . 总结与体会 (18) 九 . 参考文献 (20)

一、课程设计目的: 数字电子技术课程设计是数字电子技术课程的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一课题的设计、安装和调试来完成的,训练学生综合运用学过的数字电子技术的基本知识,独立设计比较复杂的数字电路的能力。 通过数字电路课程设计使学生做到: 1、综合运用电子设计课程中所学到的理论知识,独立完成一个设计课题。 2、通过查阅手册和文献资料,培养学生独立分析和解决实际问题的能力。 3、了解常用电子器件的类型和特性,并掌握合理选用的原则。 4、学会电子电路的安装与调试技能,掌握电子电路的测试方法。掌握常用电子仪器的使用方法。 5、学会撰写课程设计总结报告。

相关主题
文本预览
相关文档 最新文档