当前位置:文档之家› 彩灯控制器设计

彩灯控制器设计

彩灯控制器设计
彩灯控制器设计

课程设计名称:电子技术课程设计题目:彩灯控制器设计

学期:2013-2014学年第2学期

专业:电气工程及其自动化

班级:

姓名:

学号:

刘桂芬指导教师:

辽宁工程技术大学课程设计成绩评

定表

课程设计任务书

一、设计题目

彩灯控制器设计

二、设计任务

1.有六只LED彩灯

2.控制顺序是:全亮---奇数灯依次灭---偶数灯依次灭---依次亮—依次灭---全亮—全灭

3.彩灯点亮时间为0.5S。

三、设计计划

电子技术课程设计共1周。

第1天:选题,查资料;

第2天:方案分析比较,确定设计方案;

第3~4天:电路原理设计与电路仿真;

第5天:编写整理设计说明书。

四、设计要求

1. 画出整体电路图。

2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。

3. 写出设计说明书。

指导教师:刘桂芬

日期:2014年6月18日

摘要

近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。

现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,

例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。

关键词:集成电路;计数器;译码器;

目录

1 综

述 ........................................................ (1)

2 方案设计与分

析 (2)

3 电路设计框图及功能描

述 (3)

4 电路原理设计及参数计

算 (4)

4.1 21进制计数

器 (4)

4.2 5-32译码

器 (4)

4.3 真值

表 (5)

5 各单元电路的制

作 (6)

5.1 21进制计数器的实

现 (6)

5.2 5-32译码器的实

现 (7)

5.3 控制电路的实

现 (7)

5.4 电路图及仿

真 (8)

6 课程设计体

会 (9)

参考文

献 (10)

辽宁工程技术大学电子技术课程技术

综述1

随着科学技术的发展以及人民生活水平的提高,在生活中使用彩灯作为一种装饰越来越流行,彩灯既可以增强人们的视觉效果,又可以增添节日气氛,为人们的生活增添了亮丽的色彩。现代数字电子技术的发展逐渐趋向于小型化,快速化,精确化,控制使用简易方便的方向发展。在数字电子技术广泛应用的阶段,人们追求贯彻整个系统的自动化设计,整个过程通过集成块完成自动控制,提高了设计的质量和效率,大大降低了故障产生的机会。1

彩灯控制器设计

2 方案设计与分析

方案一:利用两片十六进制计数器74LS161设计一个21进制计数器,用从0到20种状态来控制这21种状态,然后通过译码器翻译成可以实现的高低电平。最后写出这21种状态和计数器数字对应的真值表,计算出逻辑式,便可实现所要求的彩灯控制。该方案思路简单明确,控制产生的现象明显,稳定,易于实现。方案二:利用两片十进制计数器74160设计一个40进制计数器,再用个位的0到9输出状态与十位的0到3四种输出状态通过逻辑器件构成LED灯的控制电路,实现彩灯的亮灭控制。该方案LED灯的控制电路比较复杂,逻辑门器件容易出现延迟,竞争冒险风险,从而影响对LED灯的控制结果。

2

辽宁工程技术大学电子技术课程技术

3 电路设计框图及功能描述

按课程设计题目来看,要求实现彩灯的21种状态(开始灯全部亮1种状态,奇数的灯依次灭3种状态,偶数的灯依次灭3种状态,然后依次亮6种状态,依次灭6种状态,然后再全亮1种状态,全灭1种状态,共21种)。

此处可以用一个21 进制的计数器实现,从0到20 种状态来控制这21 种状态(00000-10110),然后把计数器用译码器译成可以实现的高低电平。再写出这21种状态和计数器数字对应的真值表,计算出逻辑式,便可实现题目要求的彩灯控制。

由上分析可知需要一个21进制的计数器,然后需要一个5-32译码器,然后在由门电路连接LED灯。

总体设计思路图如下所示:

各时个23钟5-32门脉LED进电冲制译路信计码灯的号数器

连电器

接路

彩灯控制器·设计

目录 一.系统设计概述 (1) 1.实践要求: (1) 2.原理分析与电路方框图: (1) 二.原件选择与电路设计 (2) 1.脉冲发生电路 (2) 2.计数控制电路 (4) 3.译码驱动电路 (5) 4.储存单元 (6) 5.计数器显示电路 (7) 6.显示矩阵 (8) 三.电路的安装与测试 (8) 1.电路连接: (8) 2.电路调试: (9) 四.总结 (10) 附表1:原件清单 (11) 附表2:图片程序源码 (12) 附表3:总电路图 (14) 附表4:电路实物 (15) 参考文献 (16)

一.系统设计概述 1.实践要求: 通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。系统所显示的内容可反复循环,直至手动或加压清零,便可回到初始地址。 1)设计脉冲产生电路、图形控制电路和存储电路; 2)用发光二极管点阵(8×8)作为显示电路,显示内容的动面感要强。 3)图形能连续循环,图形大于64幅,图形显示间隔在20ms~2s范围内连续可调; 4)能手动和加压清零功能,有自动选画功能; 5)完成电路全部设计后,通过实验箱验证设计课题的正确性 2.原理分析与电路方框图: 通过对实验要求的解读,可知实践需要通过对EEPROM编程来控制一个8X8LED的矩阵输出存在EEPROM中的各种图形或者文字。因此就需要分别用8个地址线来分别控制点阵的行与列。本次实践中,我们使用74LS138及EEPROM来实现对行列的控制。 由于人类视觉暂留实践为20ms,因此将点阵的列设计成高频的刷新电路,行设计成低频的换面切换电路,这样就能够显示出清晰,可变的图形。显然,我们可以用译码器来控制列,刷新点阵。用EEPROM来控制行,来输出图形。 点阵中的LED灯是低电压导通,因此应该把阴极定位列,阳极定为行。 频率控制电路可以利用基于555振荡器的多谐振荡器加计数器来实现。高频频率应为400~500Hz,根据要求所知,图形间隔在20ms到2s之间,因此低频频率应为5Hz~50Hz。 在列方面,我们使用74LS161的二进制计数器来实现对译码器的控制即可; 在行方面,由于要求显示出64幅的画面,实际我们设计了80幅画面,因此我们使用一个16*5进制的计数器,用两片74LS161同步CP端且用置数法来实现,并用显示电路来显示低位、高位计数器的计数情况,地位控制每幅画面,高位控制每组画面。并用通过对高位芯片置数端,清零端的控制来实现要求中的选

彩灯控制器课程设计数电

电子技术课程设计 ---彩灯控制器 学院:电子信息工程学院 班级: 学号: 指导教师:

彩灯控制器 一、设计任务与要求: 设计一个彩灯控制器,要求: 1.四路彩灯从左向右逐次渐亮,间隔为1秒。 2.四路彩灯从右向左逐次渐灭,间隔为1秒。 3.四路彩灯同时点亮,时间间隔为1秒,然后同时变暗,时间为1秒,反复4 次。 二、总体框图 图(1)总体框图 根据设计要求,电路设计大体思路如下: 由脉冲发生器发出频率脉冲信号,利用计数器加法计数功能输出0000~1111的脉冲信号,经过数据选择器分别在0000~0011,0100~0111,1000~1111三个时段输出不同的高低电平,控制移位寄存器实现右移→左移→置数功能,从而控制

彩灯按照设计要现亮灭。 三、选择器件 本次课程设计所用器件如表一: 表一本次课程设计所用器件 1.同步二进制计数器74LS163

表二7-3 74LS163功能表 根据逻辑图、波形图、功能表分析,74LS163具有如下功能: 管脚图逻辑符号 1)1是同步4位二进制加法计数器,M=16,CP上升沿触发 2)2既可同步清除,也可异步清除。同步清除时,清除信号的低电平将在下一 个CP上升沿配合下把四个触发器的输出置为低电平。异步清除时,直接用 清除信号的低电平把四个触发器的输出置为低电平。 3)3同步预置方式:当LD = 0时,在CP作用下,计数器可并行打入预置数据. 当LD = 1时,使能输入PT同时为高电平,在CP作用下,进行正常计数。 4)PT任一为低时,计数器处于保持状态。 5) 5 CO为进位输出,可用来级联成n位同步计数器。 2.四位双向移位寄存器74LS194

可编程彩灯控制器原理及设计

可编程彩灯控制器原理 及设计 Company Document number:WTUT-WT88Y-W8BBGB-BWYTT-19998

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21 一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路;

2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。 三、优选设计方案 方案A: 根据设计要求,本系统由控制电路,编码发生电路和输出驱动电路等组成。其彩灯控制器的总体设计思想如下:

节日彩灯控制器设计

石家庄铁道大学四方学院 集中实践报告书 课题名称 节日彩灯控制器设计 姓 名 吴春慧 学 号 20137762 系、 部 电气工程系 专业班级 方1353-2 指导教师 牛晓燕 2016 年 7 月 6 日 ※※※※※※※※ ※ ※ ※ ※※ ※※ ※ ※ ※ ※ ※※※※ ※ 2013级 单片机接口设计课程设计

一、设计任务及要求: 设计任务: 设计一个节日彩灯控制器,通过按钮控制彩灯的各种变化。 设计要求: 1.设计4个按键S0、S1、S2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2.由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED 的I/O口送出低电平,可实现题目要求的功能。 3.要求做出实物。 二、指导教师评语: 三、成绩 指导教师签名: 年月日

目录 第1章设计目的 (2) 第2章设计要求 (2) 第3章硬件电路设计 (2) 3.1 系统结构框图 (2) 3.2 STC89C52单片机 (3) 3.3 总电路图 (4) 第4章软件设计 (5) 4.1 主程序设计 (5) 4.2 LED灯子程序设计 (7) 4.2 延时子程序设计 (7) 4.3 源程序 (8) 第5章结论 (10) 参考文献 (11)

第1章设计目的 1、掌握单片机实际系统的开发步骤。 2、熟悉节日彩灯控制器的工作原理。 3、加深对单片机汇编语言的认识和理解,并会编程。 第2章设计要求 1、设计4个按键S0、S1、S 2、S3, S0—开始按此键则灯开始流动(由上而下); S1—停止,按此键则停止流动,所有灯为暗; S2—上,按此键则灯由上向下流动; S3—下,按此键则灯由下向上流动。 2、由按键控制功能的流水灯,其中的LED采取共阳极接法,通过依次向连接LED的I/O口送出低电平,可实现题目要求的功能。 3、要求做出实物。 第3章硬件电路设计 3.1 系统结构框图 节日彩灯控制系统主要由单片机、LED显示器、开关及电源组成。其结构框图如图3-1所示。 八个LED灯显示器 开关单片机最小系统5V电源 图3-1系统结构框图

六路彩灯循环控制器数电课程设计报告书

一.设计目的及要求 1.1 课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5种演示花型: 花型1:6路彩灯同时亮; 花型2:6路彩灯同时灭; 花型3:6路彩灯从左至右逐路点亮; 花型4:6路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6路彩灯右侧三个全亮,同时左侧三个全灭;

要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3、花型4,花型5、花型1······且电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图 2.1 题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个74LS160,一个74LS42和两个74HC194,这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2题目设计 花型1,111111;花型2,000000;花型3,100000——010000——001000——000100——000010——000001;花型4,111000;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3 结构框图

彩灯控制器设计

彩灯控制器设计 摘要 一、系统设计要求 设计一个控制电路来实现8路彩灯按照一定的次序和时间间隔闪烁。具体要求如下: 1、当控制开关为0时,灯全灭;当控制开关为1时,从第一盏开始,依次点亮,时间间隔为1秒。期间一直保持只有一盏灯亮、其他灯全灭的状态。 2、 8盏灯依次亮完后,从第8盏开始依次灭,期间一直保持只有一盏灯灭、其他灯全亮的状态。 3、当8盏灯依次灭完后,8盏灯同时亮同时灭,其时间间隔为0.5秒,并重复4次。 4、只要控制开关为1,上述亮灯次序不断重复。 5、用层次化设计方法设计该电路,编写各个功能模块的程序。 6、仿真各功能模块,通过观察有关波形确认电路设计是否正确。 7、完成电路设计后,用实验系统下载验证设计的正确性。 二、系统总体结构 系统框图如下所示, ENA为控制开关,输入信号为2Hz,经过分频器分频之后产生一个1Hz的时钟信号,用两个12位内部信号的左、右移后的中间8位来控制8个灯的亮与灭。计数器1和计数器2的作用:一方面用2Hz和1Hz的时钟信号控制灯亮、灭的时间间隔;另一方面控制八盏灯的依次亮、依次灭和全亮、全灭。 使能信号ENA为无效电平时(低电平),8只LED灯保持全灭的状态;当使能信号ENA为有效电平时(高电平),8只按照既定的花型进行变换,首先2Hz的时钟信号在分频器的左右下改变为1Hz的时钟信号,该1Hz的时钟信号送至计数器2、左移和右移模块,并由计数器2实现左、右移模块的选择。分别实现8只LED灯的自左向右依次点亮,以及自右向左依次熄灭的花型变换;当完成自右向左的花型变换后,计数器2给出一个控制信号给计数器1,执行全亮全灭的花型变换,该模块的时钟信号是未经分频器分频的原始时钟信号2Hz。只要使能信号有效,那么该系统就按照以上的花型变换顺序一直永序的变换下去。 三、各功能模块

彩灯控制器的设计电子课程设计

彩灯控制器的设计 一.内容提要: 随着社会的发展,街道、商场或公共场所通常都装有各种五彩斑斓的灯饰,这些霓虹灯既可以美化人们的生活空间,也给这个社会增添了不少色彩。特别是每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。本次设计主要阐述了由电子电路设计一个彩灯控制器,控制红绿黄三个灯,按一定规律依次点亮。即由电子电路实现一个可循环效果的彩灯控制器。 本设计主要讲述了彩灯控制器的工作原理以及其各个组成部分,记述了我在整个设计过程中对各个部分的设计思路、对各部分电路设计方案的选择、元器件的筛选、以及对它们的调试、对调试结果的分析,到最后得到比较满意的实验结果的方方面面。 二设计主要要求及指标 1、控制红、绿、黄一组彩灯循环闪亮,变化的规律是:红→红绿→绿→ 黄绿→黄→全亮→全灭→红,如此循环,产生“流水”般的效果。 2、彩灯白天不亮,夜晚自动亮。 3、“流水”的速度由快到慢,再由慢到快循环变化。 三、设计提示 原理框图如图1所示 1、彩灯的亮灭共有七种情况,可设计一个七进制的计数器,用计数器的状态控 制彩灯的亮灭;计数器应能够自启动。 2、“流水”的速度的控制可用两片555定时器电路实现。两片555接成振荡频 率不同的多谐振荡器,用频率低的振荡器上积分电容的三角波信号,调制另一个频率高的振荡器的振荡频率,使其高低往复变化。 3、用光敏器件(光敏电阻、光敏二极管、光敏二极管等)检测周围环境的光强, 以区分白天、夜夜,控制彩灯的亮灭。

图1 彩灯控制器框图 四.设计思路 根据题目要求,整体结构为脉冲信号源输出一定频率的脉冲给七进制计数器,七进制计数器受脉冲控制输出Q 1、Q 2、Q 0的不同状态,从而控制逻辑电路,逻辑电路输出控制彩灯的亮灭,达到要求。 根据所学内容,可分别确定所需元件,脉冲信号源有很多种,但要频率可控,可采用CB555定时器组成的多谐振荡器和滑动变阻器完成,多谐振荡器是常用的一种矩形波发生器,滑动变阻器通过改变其内部电阻来改变其输出矩形波的频率。七进制计数器可通过74LS160型同步十进制计数器改接而得。逻辑电路可使用74LS138型3位二进制译码器控制彩灯。 光敏器件检测电路 脉冲发生 七进制计数逻 辑 电 路 红 黄 绿 Q2 Q1 Q0 D CP 加减控制 循环结束结束判别 时钟快慢 控制

多路彩灯控制器的设计

多路彩灯控制器的设计 一课程设计题目(与实习目的) (1)题目:多路彩灯控制器 (2)实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事的工作态度。 5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。二任务和要求 实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。 (1)彩灯控制器设计要求 设计一个8路移存型彩灯控制器,要求: 1. 彩灯实现快慢两种节拍的变换; 2. 8路彩灯能演示三种花型(花型自拟); 3. 彩灯用发光二极管LED模拟; 4. 选做:用EPROM实现8路彩灯控制器,要求同上面的三点。 (2)课程设计的总体要求 1.设计电路实现题目要求;

2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 三总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下: 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示; 第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。 并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: (2)总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相

数电课程设计-四花样彩灯控制器

课程设计说明书 课程设计名称:数字电路课程设计 课程设计题目:四花样彩灯控制器 学院名称:信息工程学院 专业:通信工程班级:110422 学号:姓名:陈粤龙 评分:教师: 20 13 年9 月23 日 数字电路课程设计任务书20 12 -20 13 学年第 1 学期第19 周-20周 题目四花样彩灯控制 内容及要求 (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从1~8从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换。 进度安排 1.?布置任务、查阅资料、选择方案、领仪器设备:2天 2.?仿真、画PCB线路图:2天 3.?领元器件、制作、焊接:3天 4.?调试:2天 5.?验收:1天 6.?提交报告:2013-2014学年第一学期?2-3周 学生姓名:陈粤龙

注:1、此表一组一表二份,课程设计小组组长一份;任课教师授课时自带一份备查。 2、课程设计结束后与“课程设计小结”、“学生成绩单”一并交院教务存档。 前 言 彩灯控制器有着非常广泛的运用,如:LED 彩灯,音乐彩灯控制器,二维彩灯控制器等等,现简单介绍如下: 随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用 彩灯来装饰街道和城市建筑物已经成为一种时尚。但目前市场上各式样的LED 彩灯控制器大多数用全硬件电路实现,电路结构复杂、功能单一,这样一 指导时间:2周 指导地点: E610 任务下达 2013年 9月 22日 任务完成 2013 年 9 月 25日 考核方式 1.评阅 □ 2.答辩 □ 3.实际操作□ 4.其它 □ 指导教师 系(部)主任 摘 要 彩灯控制器在我门日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能运用到它的原理。本次设计的四花样彩灯控制器是其中较简单的,但这是进行复杂设计的基础。 本次课程设计要设计一个四花样彩灯控制器。首先要分析设计要求,从要实现四花样入手推导出要使用的芯片。可通过八位右移寄存器74LS164实现八个彩灯的向右移动,从它的右移输入端输入四种码来实现它的四种花样。根据四种花样确定这四种码,可通过模十六计数器74LS161的输出端接与门74LS08和非门74LS04产生。要实现彩灯的自动转换,把四种码输入四选一数据选择器74LS153的四个输入端,它的地址输入端接双D 触发器74LS74的两个输出端,74LS74可产生四种循环的状态,从而实现彩灯的自动转换。时钟信号由两个555产生,一个产生周期为0.721秒的矩形脉冲控制模十六计数器74LS161和八位右移寄存器74LS164,另一个产生周期为14.01秒的脉冲控制双D 触发器。当彩灯完成一种花样时,双D 触发器输出状态改变,数据选择器选择另一种码输出,彩灯变为下一种花样,直到完成四种花样再循环变化。 经实验验证,所设计的四花样彩器能完成四花样变换,每一种花样循环两次,四种花样不断循环。 关键字:时钟脉冲 分频 自动转换 控制器 数据选择器

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

多路彩灯控制器课程设计

物理与电气工程学院课程设计报告 多路彩灯控制器 姓名 ** ** 学号 ********* 班级电气工程及其自动化1班 年级 2011级 指导教师李 *** 成绩 日期 2013.4.8

摘要八路循环彩灯控制器整体电路由三部分组成:脉冲发生电路、移位寄存器、控制电路。其中用时钟脉冲来启动电路,使其发出不同的频率产生不一样的脉冲,控制发光二极管,使电路更好的工作。主要采用 74LS194 芯片接成扭环形结构的移位器来实现,最后做到两种花型的彩灯循环控制。 一、实验目的: 根据知识掌握情况和兴趣选择题目,给出功能设计方案,插接、调试电路,完成要求的任务,达到巩固和应用“电子技术基础”和“数字电路与逻辑设计”课程基本理论和方法,初步掌握模拟与数字电路系统设计基本方法的目的。 二、实验要求: 设计一个4路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下: 1、能演示三种花型,花型自拟。 2、选作:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。 三、实验元件: 555定时器 1个 74194 2个 74161 2个 7404 2个

电阻150kΩ 1个 电阻4.7KΩ 1个 电阻20Ω 8个 电容4.7uF 1个 电容0.1 uF 1个 四、总体方案的设计: 经过分析问题及初步的整体思考,设计方案如下: 需要实际时钟产生电路,循环控制电路和彩灯左右移,及全亮全灭输出电路。时钟脉冲产生电路由脉冲发生器产生连续的脉冲。循环电路采用74LS161 ,74LS194实现彩灯的循环控制。具体主要通过两片双向移位寄存器74LS194 来实现彩灯电路控制,通过脉冲发生器来产生连续时钟信号的输入,由74LS161 计数器来控制信号的移动方向,实现左移,右移及亮灭的功能。总体电路原理图如下: 五、单元电路的设计:

可编程彩灯控制器原理及设计

目录 一、课题设计任务及要求 .3 二、设计目的 3 三、优选设计方案 4 四、整体设计思想及原理框图 5 五、各模块设计与分析 6 1、脉冲发生电路 7 2、控制电路和译码电路 10 3、存储电路 12 4、数码管显示电路 .14 六、元器件清单 15 七、安装及调试中出现的问题和解决方法 15 八、设计感想 17 附录 一、实验电路图 20 二、实验电路连接图 .21 三、参考文献 21

一、课题设计任务及要求 课题名称:可编程彩灯控制器 设计任务及要求: 1、设计脉冲产生电路、图形控制电路和存储电路; 2、用8×8LED点阵作为显示电路,显示内容的动面感要强。 3、能用按键切换不同的显示组合,至少有3个按键切换; 4、每种组合至少有3种变化,每种组合内图形能连续循环; 5、要有数码管显示当前是第几种组合(或是第几个按键); 6、图形显示间隔(显示频率)至少有3种可选。 控制器可有2种控制方式: (1)规则变化:变化节拍有秒和秒,交替出现,每种节拍可有多种花样,各执行1或2个周期后轮换;彩灯变化方向有单向移动和双向移动、跳跃移动等。 (2)随机变化。变化花样相同,但节拍和花样的轮换随机出现。 7、完成电路全部设计后,通过实验箱验证设计课题的正确性。 二、设计目的 本课程设计主要是为了实现可编程彩灯控制的功能,且通过本次电子课程设计,了解电子产品设计的一般过程,掌握电子线路设计的基础方法和一般过程,能灵活运用已学过或者类似的集成块构成电路实现上述功能,还能灵活掌握555电路的应用方法。能用仿真软件对电子线路进行仿真设计,还能用Portel等软件绘制PCB图,掌握了电子电路调试的方法,且能独立解决设计与调试过程中出现的一般问题,并进一步掌握EEPROM的编程方法和应用。

彩灯控制器设计

课程设计名称:电子技术课程设计题目:彩灯控制器设计 学期:2013-2014学年第2学期 专业:电气工程及其自动化 班级: 姓名: 学号: 刘桂芬指导教师: 辽宁工程技术大学课程设计成绩评

定表

课程设计任务书 一、设计题目 彩灯控制器设计

二、设计任务 1.有六只LED彩灯 2.控制顺序是:全亮---奇数灯依次灭---偶数灯依次灭---依次亮—依次灭---全亮—全灭 3.彩灯点亮时间为0.5S。 三、设计计划 电子技术课程设计共1周。 第1天:选题,查资料; 第2天:方案分析比较,确定设计方案; 第3~4天:电路原理设计与电路仿真; 第5天:编写整理设计说明书。 四、设计要求 1. 画出整体电路图。 2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。 3. 写出设计说明书。 指导教师:刘桂芬 日期:2014年6月18日 摘要 近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件功能则成为必然。 现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。绝大多数的彩灯控制电路都是用数字电路来实现的,

例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。 关键词:集成电路;计数器;译码器; 目录 1 综 述 ........................................................ (1) 2 方案设计与分 析 (2) 3 电路设计框图及功能描 述 (3) 4 电路原理设计及参数计 算 (4) 4.1 21进制计数 器 (4) 4.2 5-32译码 器 (4) 4.3 真值 表 (5) 5 各单元电路的制 作 (6)

彩灯控制器的设计

课程设计说明书 课程名称:_______电子技术基础_________ 题目:彩灯控制器的设计 学生姓名:____________________ 专业:_______________________ 班级:_______________________ 学号:_______________________ 指导教师:____________________ 日期:__________ 年—月日

课程设计任务书 设计题目 彩灯控制器的设计 二、主要内容及要求 (1)以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、 1、2、3、4、5、6、7、8、9 (自然数列),1、3、5、7、9 (奇数列),0、2、4、 & 8 (偶数列)和0、1、2、3、4、5、6、7、0、1 (音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。 (2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出 自然数列的0,再显示出1,然后按上述规律变化。 三、进度安排 1. ,老师给出选题内容,课程设计的相关要求,指导时间及任务完成期限。 2?去图书馆和网上查找相关资料,并且构思整个设计思路。 3, 选择适当的芯片组合电路,设计各个部分的电路图,并仿真,改善电路图。 4. 根据电路的原理写出设计方案。 5?设计方案的检查,修正,改进,按要求打印方案。 四、总评成绩 指导教师 _____________ 学生签名 _____________

题目彩灯控制器的设计 一、设计任务与要求 (1)以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。 (2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 二、方案设计与论证 彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现音乐彩灯、闪烁、循环、时控等功能。 数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。 方案一、 1. 选择用JK 触发器构成两位二进制计数器控制四种循环,可以用到7476(集成JK 触发器)。 2. 用十进制计数器产生序列,采用具有异步清零和异步置数功能的74192(双时钟十进制同步可逆计数器)来实现包括0-9 的自然数列、1-9 的奇数数列、0-8 的偶数数列和音乐数列的二进制。 3. 再通过逻辑门电路将序列输出。包括7432(集成二输入或门),与门、或门、非门、与非门、或非门、异或门。 方案二、 1. 异步二一五一十进制加法计数器的74LS90和74LS153来实现包括0-9的自然数列、1-9 的奇数数列、0-8 的偶数数列和音乐数列的二进制。 2. 采用555定时器构成的多谐振荡器、74LS48七段数码管各一个,2K电阻两个,0.01uF、100uF电容各一个,线若干来控制四种循环。

数字电子四色花样彩灯控制器课程设计

课程设计任务书
学年第 学期
学 专
院 : 业: 学
信息工程 通信工程 号 :
学 生 姓 名: 课程设计题目:
多功能彩灯控制器设计
起 迄 日 期: 课程设计地点: 指 导 教 师: 系 主 任 :
2013 年 12 月 25 日-2014 年 01 月 8 日 信息工程学院
邬春明
下达任务书日期 :2013 年 12 月 15 日

课 程 设 计 任 务 书
1.设计目的:
加强学生对数字电子技术专业知识的理解和掌握,训练并提高其在理论计算、电路 设计、资料文献查阅、运用相关标准与规范、电路仿真等方面的能力;为毕业设计(论 文)奠定良好的基础。
2.设计内容和要求(包括原始数据、技术参数、条件、设计要求等) :
设计一个四花样自动切换的彩灯控制器。八个一组的彩灯按多种不同的方式显示, 组成不同的视觉效果。要求实现: (1) 彩灯一亮一灭,从左向右移动 (2) 彩灯两亮两灭,从左向右移动 (3) 四亮四灭,从左向右移动 (4) 从 1~8 从左到右逐次点亮,然后逐次熄灭 (5) 四种花样自动变换
3.设计工作任务及工作量的要求〔包括课程设计计算说明书(论文)、图纸、 实物样品等〕 :
1、课程设计说明书(论文) 2、电路的计算机仿真(EWB 等,仿真时可用仿真工具中的信号源) 3、答辩
4.主要参考文献:
[1] [2] [3] [4] [5] 周常森. 电子电路计算机仿真技术.山东科技出版社,2006.02
5.设计成果形式及要求:

彩灯控制器设计 数电课程设计

彩 灯 控 制 器 的 设 计 专业:YYYYYYYYYYYYY 学号:YYYYYYYYYYYYy 姓名:YYY

目录 一、实验目的 (3) 二、设计题目与思路 (3) 三、总体方案的设计与选择 (3) 1、总体方案的设计 (3) 2、总体方案的选择 (4) 四、单元电路的设计 (5) 1、花型演示电路 (5) 2、花型控制信号电路 (5) 3、交替节拍控制电路 (6) 4、时钟信号电路 (7) 五、总体电路图(见附页) (7) 六、使用元件 (9) 七、电路组装、调试过程中遇到的问题及解决办法 (9) 八、分析与心得 (10)

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编辑逻辑器件实现。 三、总体方案的设计与选择 1.总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下:Array 方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型

的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2.总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与线关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。 而后者则将以上两种功能分开设计,各单元电路只实现一种功能。其优点在于:电路设计模块化,易于检查电路,对后面的电路组装及电路调试带来方便。缺点则是:节拍控制电路采用可编辑逻辑电路,原理相对复杂,不易理解。花型控制电路简单,花型也比较简单。 基于以上原因,加上为了成功的实现课程设计,我选择了连线少,易于组装和调试的方案二。 四、单元电路的设计 1.花型演示电路 由二片移位寄存器194级联实现。其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。 电路图如下:

相关主题
文本预览
相关文档 最新文档