当前位置:文档之家› verilog_HDL交通灯控制电路

verilog_HDL交通灯控制电路

verilog_HDL交通灯控制电路
verilog_HDL交通灯控制电路

青岛农业大学

理学与信息科学学院

电子设计自动化及专用集成电路课程设计

报告

设计题目__________ 用状态机设计交通灯控制器___________ 学生专业班级_____ 通信工程2008级1班 _______________ 学生姓名(学号)孙鹏飞(20082715)_____________ 扌旨导教师________________ 刘金梅_____________________ 完成时间2011-9-9 ________________________ 实习(设计)地点工程楼405 __________________

2011年9月9日

、课程设计目的和任务

课程设计目的:本次课程设计是在学生学习完数字电路、模拟电路、电子设 计自动化的相关课程之后进行的。通过对数字集成电路或模拟集成电路的模拟与 仿真等,熟练使用相关软件设计具有较强功能的电路, 提高实际动手,为将来设

计大规模集成电路打下基础。

课程设计任务:设计一个交通控制器,用 LED 显示灯表示交通状态,并以 7 段数码显示器显示当前状态剩余秒数

主干道绿灯亮时,支干道红灯亮;反之亦

然,二者交替允许通行,主干道每次放行 35s ,支干道每次放行25s 。每次由绿 灯变为红灯的过程中,亮光的黄灯作为过渡,黄灯的时间为 5s o 能进行特殊状 态显示,特殊状态时东西、南北路口均显示红灯状态。用

LED 灯显示倒计时,并

且能实现总体清零功能,计数器由初始状态开始计数,对应状态的显示灯亮。

二、分析与设计

1、设计任务分析

本次设计是针对十字路口,进行南北和东西直行情况下交通灯控制。 设定东 西方向为主干道方向,根据交通灯的亮的规则,在初始状态下四个方向的都为红 灯亮启,进入正常工作状态后,当主干道上绿灯亮时,支干道上红灯亮,持续 35S 后,主干道和支干道上的黄灯都亮启,持续 5S 后,主干道上红灯亮启,支 干道上绿灯亮启持续25S ,之后主干道和支干道上的黄灯都亮启 5s ,一个循环完 成。循环往复的直行这个过程。其过程如下图所示:

图1?交通灯点亮时间控制说明

2、设计方案论证

主干道方向

支干道方向

本次采用文本编辑法,即利用 Verilog HDL

语言描述交通控制器,通过状态 机计数法,实现设计所要求的交通灯控制及时间显示。 设计中用两组红黄绿LED 模拟两个方向上的交通灯,用4个7段数码管分别显示两个方向上的交通灯剩余 时间,控制时钟由试验箱上频率信号提供。

根据上章设计原理,交通灯控制的关键是各个状态之间的转换和进行适当的 时间延时,根据状态机的设计规范,本次设计了三个状态之间的循环转化, 其真 值表及状态转化图如下所示:

图2.交通灯控制状态转化

说明:该状态图为交通灯在正常情况下的状态转化图, 进入控制后,状态00 时主干道绿灯及支干道红灯亮起, 进入状态01后两路黄灯亮起,状态11时主干道 红灯及支干道绿灯亮起。进入10状态两路黄灯亮起。结束一个循环,从00状态重 新开始循环。

为实现控制与显示的功能,需要设计交通灯点亮顺序控制程序,倒数计时程 序,七段数码管显示程序,数码管显示扫描程序,其系统结构图如下所示:

图3?交通灯控制系统结构图

其中resets 复位信号,elk 为时钟信号,hold 为特殊情况控制信号,输入hold 时两个 方向红灯无条件亮起。

3、详细设计

根据整体设计要求,编写各个功能部分 Verilog HDL 程序,设置各输入输出 变量说明如下

主干道方向 支干道方向 状态00 红、黄、绿 -001 状态01 红、黄、绿 -010

状态11 红、黄、绿

-100 状态10

红、黄、绿 -010

红、黄、绿 100 红、黄、绿 010 红、黄、绿 001 红、黄、绿 010

状态00

状态01

10 状态11

clk:为计数时钟;

qclk :为扫描显示时钟;

en:使能信号,为 1 的话,则控制器开始工作;

reset: 复位信号,为 1 的话,控制及技术回到初始状态;

hold :特殊情况控制信号,为1的话,则两个方向无条件显示为红灯;

light1: 控制主干道方向四盏灯的亮灭;其中, light1[0]~light[2] ,分别控制

主干道方向的

绿灯、黄灯和红灯;

light2: 控制支干道方向四盏灯的亮灭;其中, light2[0] ~ light2[2] ,分别控

制支干道方向的

绿灯、黄灯和红灯;

num1: 用于主干道方向灯的时间显示, 8 位,可驱动两个数码管;

num2: 用于支干道方向灯的时间显示, 8 位,可驱动两个数码管;

counter:用于数码管的译码输出;

stl, st2:数码管扫描信号。

输入输出及中间变量设置如下:

module

traffic(en,clk,qclk,reset,reset1,hold,num1,num2,light1,light2,counter,st1,st2);

input en,clk,qclk,reset,hold,reset1;

output st1,st2;

output[7:0] num1,num2;

output[6:0]counter;

output[2:0] light1,light2;

reg tim1,tim2,st1,st2;

reg[1:0]state1,state2,ste;

reg[2:0]light1,light2;

reg[3:0]num;

reg[6:0]counter;

reg[7:0] num1,num2;

reg[7:0] red1,red2,green1,green2,yellow1,yellow2;

3.1二极管点亮控制该部分程序的作用是根据计数器的计数值控制发光二极管的亮、灭,以及输出倒计时数值

给七段数码管的译码电路。此外,当检测到特殊情况( hold= ‘)1'发生时,无条件点亮

红灯的二极管,当检测到复位信号,两个方向计数与控制回复到00 状态。因为主、支干道两个方向二

极管点亮的顺序与延迟时间不同,顾编写两个独立的部分来控制,具体

程序如下:

1)主干道方向 always @(posedge clk ) begin

if(reset) //复位与特殊情况控制

begin light1<=3'b001; num1<=green1;

end

else if(hold) begin light1<=3'b100; num1<=green1;

end

else if(en)

begin //使能有效开始控制计数

if(!tim1) //

begin //主干道交通灯点亮控制 tim1<=1;

case(state1)

2'b00:begin num1<=green1;light1<=3'b001;state1<=2'b01;end 2'b01:begin

num1<=yellow1;light1<=3'b010;state1<=2'b11;end 2'b11:begin

num1<=red1;light1<=3'b100;state1<=2'b10;end 2'b10:begin

num1<=yellow1;light1<=3'b010;state1<=2'b00;end default:light1<=3'b100;

endcase

end

2)支干道方向 always @(posedge clk ) begin if(reset) //复位与特殊情况控制 begin

light2<=3'b100;

num2<=red2;

end else if(hold) begin light2<=3'b100;

num2<=red2;

end

else if(en)

begin

if(!tim2)

begin

tim2<=1;

case(state1)

2'b00:begin num2<=red2;light2<=3'b100;state2<=2'b01;end

2'b01:begin num2<=yellow1;light2<=3'b010;state2<=2'b11;end

2'b11:begin num2<=green2;light2<=3'b001;state2<=2'b10;end

2'b10:begin num2<=yellow2;light2<=3'b010;state2<=2'b00;end

default:light2<=3'b100;

endcase

end

3.2倒数计时该部分程序完成二极管发光时延的计数,并将计数结果送到数码管显示电路,每切换到一个状

态,计数器的初值都被重置,以实现不同颜色二极管不同的时延要求。本次设计直接用逻辑运算完成2 位十进制数的计数,未采用分位器的设计。因为主、支干道上计数器的结构完全相同,顾只列出一路的程序,其具体程序如下所示:

always @(posedge clk )

begin

else

begin // 倒数计时

if(num1>0)

if(num1[3:0]==0)

begin

num1[3:0]<=4'b1001;

num1[7:4]<=num1[7:4]-1;

end

else num1[3:0]<=num1[3:0]-1;

if(num1==1) tim1<=0;

end

end

else

begin

light1<=3'b010;

num1=2'b00;

tim1<=0;

end

end

3.3数码管的译码及扫描显示

该段程序主要完成4 个7 段数码管的译码显示及扫描,使系统能正常显示主、支干道两个方向上的剩余时间。译码的时钟频率要低,为Hz 级。扫描的时钟频率要高,最低不

得小于人眼分辨频率50Hz,具体程序如下所示:

always @(posedge qclk)

begin //数码管扫描

if(reset1)

begin

st1=0;

st2=0;

end

else

begin

case({st2,st1})

2'b00:begin num<=num1[3:0];{st2,st1}<=2'b01; end

2'b01:begin num<=num1[7:4];{st2,st1}<=2'b10; end

2'b10:begin num<=num2[3:0];{st2,st1}<=2'b11; end

2'b11:begin num<=num2[7:4];{st2,st1}<=2'b00; end

endcase

end

end

always @(posedge qclk)

begin //数码管译码显示

case(num)

4'b0000: counter<=7'b0111111; //0 4'b0001: counter<=7'b0000110; //1 4'b0010: counter<=7'b1011011; //2 4'b0011: counter<=7'b1001111; //3 4'b0100: counter<=7'b1100110; //4 4'b0101: counter<=7'b1101101; //5 4'b0110: counter<=7'b1111101; //6 4'b0111: counter<=7'b0000111; //7 4'b1000: counter<=7'b1111111; //8

4'b1001: counter<=7'b1101111; 〃9 default: counter<=7'b0111111; 〃0 endcase end en dmodule

4、源代码清单

(按照设计的功能模块,提供适量主要代码并注释, 1页-1.5页)

module traffic(e n,clk,qclk,reset,reset1,hold, nu m1, nu m2,light1,light2,co un ter,st1,st2);

in put en, clk,qclk,reset,hold,reset1; output st1,st2;

output[7:0] num1,num2; output[6:0]counter;

output[2:0] light1,light2; reg tim1,tim2,st1,st2; reg[1:0]state1,state2,ste; reg[2:0]light1,light2; reg[3:0]num; reg[6:0]counter; reg[7:0] num1,num2;

'Tc

Tc

Q Q QR

1

reg[7:0] red1,red2,green1,green2,yellow1,yellow2;

always @(en )

if(!en) begin //设置计数初值 green1<=8'b00110101;

red1<=8'b00100101; yellow1<=8'b00000101; green2<=8'b00100101;

red2<=8'b00110101; yellow2<=8'b00000101;

end

always @(posedge clk )

begin

if(reset) //复位与特殊情况控制

begin light1<=3'b001; num1<=green1;

end

else if(hold)

begin light1<=3'b100; num1<=green1;

end

else if(en)

begin //使能有效开始控制计数 if(!tim1) // 开始控制

begin //主干道交通灯点亮控制 tim1<=1;

case(state1)

2'b00:begin num1<=green1;light1<=3'b001;state1<=2'b01;end

2'b01:begin num1<=yellow1;light1<=3'b010;state1<=2'b11;end

2'b11:begin num1<=red1;light1<=3'b100;state1<=2'b10;end

2'b10:begin num1<=yellow1;light1<=3'b010;state1<=2'b00;end

default:light1<=3'b100;

endcase

end

else

begin //倒数计时

if(num1>0)

if(num1[3:0]==0) begin

num1[3:0]<=4'b1001; num1[7:4]<=num1[7:4]-1;

end

else num1[3:0]<=num1[3:0]-1;

if(num1==1) tim1<=0;

end

end

else

begin

light1<=3'b010;

num1=2'b00;

tim1<=0;

end

end

always @(posedge clk )

begin

if(reset) //复位与特殊情况控制

begin

light2<=3'b100;

num2<=red2;

end

else if(hold)

begin

light2<=3'b100;

num2<=red2;

end

else if(en)

begin

if(!tim2)

begin

tim2<=1;

case(state1)

2'b00:begin num2<=red2;light2<=3'b100;state2<=2'b01;end

2'b01:begin num2<=yellow1;light2<=3'b010;state2<=2'b11;end

2'b11:begin num2<=green2;light2<=3'b001;state2<=2'b10;end 2'b10:begin num2<=yellow2;light2<=3'b010;state2<=2'b00;end

default:light2<=3'b100;

endcase

end

else

begin //倒数计时

if(num2>0)

if(num2[3:0]==0)

begin

num2[3:0]<=4'b1001;

num2[7:4]<=num2[7:4]-1;

end

else num2[3:0]<=num2[3:0]-1;

if(num2==1) tim2<=0;

end

end

else

begin

tim2<=0;

state2<=2'b00;

light2<=3'b010;

end

end

always @(posedge qclk)

begin //数码管扫描

if(reset1)

begin

st1=0;

st2=0;

end

else

begin

case({st2,st1})

2'b00:begin num<=num1[3:0];{st2,st1}<=2'b01; end

2'b01:begin num<=num1[7:4];{st2,st1}<=2'b10; end

2'b10:begin num<=num2[3:0];{st2,st1}<=2'b11; end

2'b11:begin num<=num2[7:4];{st2,st1}<=2'b00; end endcase end

end

always @(posedge qclk)

begin //数码管译码显示

case(num)

4'b0000: counter<=7'b0111111; //0

4'b0001: counter<=7'b0000110; //1

4'b0010: counter<=7'b1011011; //2

4'b0011: counter<=7'b1001111; //3

4'b0100: counter<=7'b1100110; //4 4'b0101: counter<=7'b1101101; //5 4'b0110: counter<=7'b1111101; //6 4'b0111: counter<=7'b0000111; //7 4'b1000: counter<=7'b1111111; //8 4'b1001: counter<=7'b1101111; //9 default: counter<=7'b0111111; //0 endcase

end

endmodule

三、系统实施

3.1波形仿真

在Quarturs H软件下创建工程,新建编辑设计文件,将程序输入,整体编译后, 新建波形仿真文件。设置仿真时间,时钟周期,输入输出端口,进行波形仿真。具体仿真波形图及说明如下所示:

仿真截止时间:100us;

时钟:elk 1us,qclk 0.1us

1.正常工作时波形仿真图

15 u 30.u 55.^

juuuuirmnjuwLjmnKnnjmnmuinjuirLnnnnjLrLnnnrLnnnrLruwLnjuinnj

nin

图4.正常工作时波形仿真图

图形说明

波形仿真主要完成了控制与计数以及数码管显示的波形图。en为低电品时,计

数器置初值,高电平时开始正常控制与计数。控制发光二极管首次输出为

“ light仁001 light2=100,”表示主干道路绿灯亮,支杆道路红灯亮,计数器num1 和num2

从00110101”开始递减计数,计数至“00000000”,进入下一个状态,控制输出量为

light=010,light2=010,表示主、支干道黄灯均亮起,计数器 num1 和num2从“00000101开始计数递减,计数至” 00000000”进入下一个状态, light=100 ,light2=001,表示主干道路红灯亮,支杆道路绿灯亮。Counter根据num1, num2变化随时钟上升沿输出译码后的数据。由于屏滄9

cite B 0

1 0

岸阿

1 Q

Q> 000

> m

国如? m

t(no

Mik I 0

Fit V Q

III?0

It?I □

V oiti I loo

D px

幕显示大小有限,未仿真出一个完整周期。

2.特殊情况仿真波形

图5.特殊情况仿真波形

图形说明

当hold输入高电平时,在时钟上升沿的控制下,light 1与Iight2被强制置位为”100”表示两路红灯均亮起

3.复位情况仿真波形

图 6. 复位情况仿真波形

图形说明

当reset输入高电平时,在时钟上升沿控制下,计数与控制都回到00状态,即

light仁001,light2=100,计数器 numl 和 num2从00110101”开始递减计数。

四、总结与体会

在设计中采用 Verilog HDL 语言设计交通灯控制系统 , 借助其功能强大的语言结构 , 简明的代码描述复杂控制逻辑设计 , 与工艺无关特性 , 在提高工作效率的同时达到求解目的 , 并可以通过 Verilog HDL 语言的综合工具进行相应硬件电路生成 , 具有传统逻辑设计方法所无法比拟的优越性。

在设计过程中,觉得最难的部分是波形仿真部分,虽然程序编译通过但仿真出不了正确的波形,不是计数器无法正常计数,就是控制输出无法进入到下一个状态,每次出现问题就必须返回重新修改程序。然而从理论到实践,我在做课程设计的几天里,使我对有了更进一步的认识和了解,要想学好它要重在实践,要通过不断的实际操作才能更好地学习它,但是学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,遇到了各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知解得不够深刻,掌握得不够牢固,在边编学程序的过程中我几乎是重新复习课本上的知识。

通过这次课程设计,我再一次的体验到了细心对一个编程者的重要性,和程序的规范性对于程序的重要性,这些平时我们忽略的问题,其实有时候关乎着我们编程的成功率;还有,verilog HDL设计语言是一门很好的硬件描述语言,可以直白的描述实际的电路,实际的系统模型。这次的课程设计就是对我们的一次考核,也可以说是一次考验,在平时做题目比较简单,而这次课程设计综合考核了我们的学习结果。课程设计培养了学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。

五、参考书目

[1]张明. Verilog HDL 实用教程[M ]. 电子科技大学出版社, 1999

[2]郭梯云. 移动通信[M ]. 西安电子科技大学出版社, 1995

[3]夏宇闻.复杂数字电路与系统的Verilog HD设计技术.北京航空航天大学出版社,1998

[4]康华光. 电子技术基础(数字部分) [M ]. 高等教育出版社, 1988

课程设计成绩评定表

指导教师评语及意见:

指导教师评阅成绩: 注:此表装订在课程设计之后

学生姓名 孙鹏飞 专业班级 通信工程2008级12班

设计题目

用状态机设计交通灯控制器

指导教师签字:

消防巡检柜原理图、电路图接线图

消防巡检柜接线图、原理图及电路图 产品概述 1、产品用途:仅为只有一路电源的消防设施或一级负荷中的电动机提供一种可变频的三相应急电源系统, 以解决电动机的应急供电及其启动过程中对供电设备的冲击。如:水泵、风机的电动机或其它设备的电动机。 2、具体规格有:3.7、5.5、7.5、11、15、18.5、22、30、37、45、55、75、9 3、110、132、160、 187、200、220、250、280、315、400KV A等。 3、安装形式:落地式(标准配电柜) 4、备用时间:可按设计要求配置备用时间。 设计“五合一” 规格、型号的标定 示例: KM-YJS/P-15KV A,可变频三相应急电源,输出PWM波,额定适用电机容量15KV A。 KM-YJS/P-15KV A/SHL,互投装置,输出额定容量15KV A。 注:

1、KM-YJS/P系列仅用于一对一的拖动电机,KM-YJS/P系列自带变频启动功能。 2、自动互投装置为选用件,KM-YJS/P系列自身带消防联动。 3、选用KM-YJS/P系列电源其具体规格的输出额定容量与电机负载为1:1即可。 例:负载50KV A( 电机负载) 采用本电源则选用KM-YJS/P-50KVA。 4、同等容量FEPS,KM-YJS/P系列价格一般不高于KM-YJS/S系列FEPS。 KM-YJS/P系列FEPS产品的原理图 1、单逆变单台负载原理及接线图 说明: 当三相输入电正常时经整流给逆变器提供直流电,同时充电器对电池组充电;如果当三相输入电停电或者低 于380V-15%时,KM1吸合由电池组给逆变器提供直流电。当需要电机负载工作时,给予启动信号 ( 如运行信 号、远程控制、消防联动信号),逆变器立即输出。从OHZ-50HZ变频电能给电动机进行变频启动,当其频率达 到50HZ后保持正常运行。 手动/自动选择转换开关,在自动位置可进行远程控制和消防联动( DC24)操作,在手动位置可进行本机操 作,此时远程控制和消防联动不能进行操作,运行信号和手动或者自动位置消防中心可监控。 2、单逆变单台负载一用一备原理图及接线图

简易交通灯控制电路的设计课程设计

长安大学 电子技术课程设计 题目简易交通信号灯控制器 班级 姓名黄红涛指导教师温 凯歌 日期 前言 在现代城市中,人口和汽车日益增长,市区交通也日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。有了交通灯之后人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 因此,在本次课题为简易交通灯的课程设计中,通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。

本设计分为两个部分:第一部分是由定时器、时钟脉冲驱动和控制器组成的秒脉冲信号发生装置;第二部分是有译码器、发光二极管和数码管组成的交通信号灯以及时间显示装置。各部分采用分模块设计,正文中详细介绍了各模块的功能和原理。 为了完成本次设计,参阅了大量的资料,包括所用到的芯片的详细中英文资料。搜集和查阅资料是一个漫长但是非常重要的过程,获取各模块电路原理,然后经过讨论比较,结合课题要求,确定出一套最合适的方案。小组人员花费几天时间,通过图书馆和上网查阅资料,分别查阅到相应资料。经过商讨,结合现有资料,制定基本框架,并基本定出电路图。在MULTISIM软件里进行电路仿真,来验证电路的正确性。通过仿真来验证实验原理和电路的正确性。在整个过程中,充分发挥主观能动性,将平时所学的理论知识和实际相结合,往往理论可行的东西,实际并不一定能出现结果,这就是我们需要解决的问题,通过问老师或者查资料来分析解决问题。最后确定仿真没有错误后,汇总电路图。 本设计分为两大部分,交通信号灯以及译码显示电路(时间显示)部分由黄红涛同学和韩白雨同学负责主导设计;秒脉冲信号发生以及控制部分由任永刚同学负责,最后进过整合后得到完整系统。 由于缺少实践经验,并且知识有限,所以本次课程设计中难免存在缺点和错误,敬请老师批评指正。 黄红涛 2010年12月29日 目录 前言 (2)

智能交通信号灯控制系统设计

编号: 毕业论文(设计) 题目智能交通信号灯控制系统设计 指导教师xxx 学生姓名杨红宇 学号201321501077 专业交通运输 教学单位德州学院汽车工程系(盖章) 二O一五年五月十日

德州学院毕业论文(设计)中期检查表

目 录 1 绪论............................................................................................................................ 1 1.1交通信号灯简介...................................................................................................... 1 1.1.1 交通信号灯概述.................................................................................................. 1 1.1. 2 交通信号灯的发展现状...................................................................................... 1 1.2 本课题研究的背景、目的和意义 ......................................................................... 1 1. 3 国内外的研究现状 ................................................................................................. 1 2 智能交通信号灯系统总设计.................................................................................... 2 2.1 单片机智能交通信号灯通行方案设计 ................................................................. 2 2.2 功能要求 ............................................................................... 错误!未定义书签。 3 系统硬件组成............................................................................................................ 4 4 系统软件程序设计.................................................................................................... 5 5 结论和展望................................................................................................................ 6 参考文献...................................................................................... 错误!未定义书签。 杨红宇 要: 但是传统的交通信号灯不已经不能满足于现代日益增长的交通压力,这些缺点体现在:红绿 以及车流量检测装置来实现交通信号灯的自控制,随着车流量来改变红绿灯1 绪论 1.1 1.1.1 为现代生活中必不可少的一部分。

控制器的工作原理介绍

控制器的工作原理介绍 控制器是指按照预定顺序改变主电路或控制电路的接线和改变电路中电阻值来控制电动机的启动、调速、制动和反向的主令装置。由程序计数器、指令寄存器、指令译码器、时序产生器和操作控制器组成,它是发布命令的“决策机构”,即完成协调和指挥整个计算机系统的操作。 控制器的分类有很多,比如LED控制器、微程序控制器、门禁控制器、电动汽车控制器、母联控制器、自动转换开关控制器、单芯片微控制器等。 1.LED控制器(LED controller):通过芯片处理控制LED灯电路中的各个位置的开关。控制器根据预先设定好的程序再控制驱动电路使LED阵列有规律地发光,从而显示出文字或图形。 2.微程序控制器:微程序控制器同组合逻辑控制器相比较,具有规整性、灵活性、可维护性等一系列优点,因而在计算机设计中逐渐取代了早期采用的组合逻辑控制器,并已被广泛地应用。在计算机系统中,微程序设计技术是利用软件方法来设计硬件的一门技术。 3.门禁控制器:又称出入管理控制系统(Access Control System) ,它是在传统的门锁基础上发展而来的。门禁控制器就是系统的核心,利用现代的计算机技术和各种识别技术的结合,体现一种智能化的管理手段。 4.电动汽车控制器:电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。 上述只是简单的介绍了几种控制器的名称和主要功能,控制器的种类繁多、技术不同、领域不同。 在控制器领域内,高标科技作为一家国家级的高新企业,其主打产品是电动车控制器,并且在电动车控制领域内占有很重要的地位,之前已经说到电动车控制器是用来控制电动车电机的启动、运行、进退、速度、停止以及电动车的其它电子器件的核心控制器件,它就象是电动车的大脑,是电动车上重要的部件。高标科技在这里为大家介绍一下高标控制器的基本工作原理: (一)高标科技电动车控制器的结构 电动车控制器是由周边器件和主芯片(或单片机)组成。周边器件是一些功能

断路器的控制原理

断路器的控制原理 在发电厂和变电站中对断路器的跳、合闸控制是通过断路器的控制回路以及操动机构来实现的。控制回路是连接一次设备和二次设备的桥梁,通过控制回路,可以实现二次设备对一次设备的操控。通过控制回路,实现了低压设备对高压设备的控制。 一、控制信号传送过程 (一)常规变电站控制信号传输过程 某线路高压开关控制信号传递过程 由上图可以看出,断路器的控制操作,有下列几种情况: 1主控制室远方操作:通过控制屏操作把手将操作命令传递到保护屏操作插件,再由保护屏操作插件传递到开关机构箱,驱动跳、合闸线圈。 2就地操作:通过机构箱上的操作按钮进行就地操作。 3遥控操作:调度端发遥控命令,通过通信设备、远动设备将操作信号传递至变电站远动屏,远动屏将空接点信号传递到保护屏,实现断路器的操作。 4开关本身保护设备、重合闸设备动作,发跳、合闸命令至操作插件,引起开关进行跳、合闸操作。 5母差、低频减载等其他保护设备及自动装置动作,引起断路器跳闸。 可以看出,前三项为人为操作,后两项为自动操作,因此断路器的操作据此可分为人为操作和自动操作。 根据操作时相对断路器距离的远近,可分为就地操作、远方操作、遥控操作。就地通过开关机构箱本身操作按钮进行的操作为就地操作,有些开关的保护设备装在开关柜上,相应的操作回路也在就地,这样通过保护设备上操作回路进行的操作也是就地操作,保护设备在主控室,在主控室进行的操作为远方操作,通过调度端进行的操作为遥控操作。

(二)综自站控制信号传输过程 某线路高压开关控制信号传递过程 通道 操作方式与常规变电站相比,仅在远方操作和遥控操作时不同。 在主控室内进行远方操作,一般是通过后台机进行,操作命令传达到测控装置,启动测控装置跳、合 闸继电器,跳、合闸信号传递到保护装置操作插件,启动操作插件手跳、手合继电器,手跳、手合继电器 触点接通跳、合闸回路,启动断路器跳、合闸。当后台机死机或其它原因不能操作时,可以在测控屏进行 操作。 遥控操作由调度端(或集控站端)发送操作命令,经通讯设备至站内远动通讯屏,远动通讯屏将命令 转发 至站内保护通讯屏,然后保护通讯屏将命令传输至测控屏,逐级向下传输。 需要指出,有些老站遥控命令是通过后台机进行传输的,如虚线图所示,但由于后台机死机时,将不 能进 行遥控操作,现在新上站,遥控通道不再经后台机,提高了遥控操作可靠性。 二、常规断路器控制回路原理 下图为最简单的断路器控制回路原理图 KK —控制开关 HC —合闸线圈或合闸接触器线圈(电磁机构) TQ —跳闸线圈 DL —断路器辅助接点 1ZJ —保护及自动装置接点 BCJ-保护出口继电器接点 HQ —电磁机构中的断路器合闸线圈 (一)合闸回路 断路器合闸回路由以下几部分组成 合闸启动回路 f 断路器辅助接点(常闭)f 合闸线圈 手动合闸或自动合闸时,合闸启动回路瞬时接通,合闸线圈励磁,启动断路器操动机构,开关合上后,串 于合闸回路的断路器常闭接点打开,断开合闸回路。 母差、低周减载、备自投、主变保 保 护 屏 操 作 插 就 地 操 作 断 路 器 跳 合 闸

交通灯控制电路设计.doc

百度文库 长沙学院 电子技术 课程设计说明书 题目交通灯控制电路设计 系( 部) 电子信息与电气工程系 专业 ( 班级 ) 电气工程及其自动化 姓名龙欣 学号B214 指导教师张海涛 起止日期 电子技术课程设计任务书(27) 系(部):电子信息与电气工程系专业:电气工程及其自动化指导教师:张海涛课题名称 交通灯控制电路设计

百度文库 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行; 绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的 自动指挥对城市交通管理现代化有着重要的意义。 设设计要求: 1.掌握交通灯控制电路的设计、组装与调试方法。 计 2.熟悉数字集成电路的设计和使用方法,能够运用所学知识设计一定规模的电路。 内 设计任务: 容 1.用红、绿、黄三色发光二极管作信号灯。 及 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红 要 灯。 求 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计 30s 和20s 计时 显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮 5s 的黄灯作为过渡,以使行驶中 的车辆有时间停到禁止线以外,设置5s 计时显示电路。 1、系统整体设计; 设 2、系统设计及仿真; 计 3、在 Multisim 或同类型电路设计软件中进行仿真并进行演示; 工 作 4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,量参考文献、设计总结等。 起止日期(或时间量)设计内容(或预期目标)备注 进 第一天课题介绍,答疑,收集材料 第二天设计方案论证 度 安第三天进行具体设计 排 第四天进行具体设计 第五天编写设计说明书 指导老师 月教研室 年日 意见意见 年月日 长沙学院课程设计鉴定表 姓名龙欣学号B214专业电气班级 2

交通灯控制电路设计

交通灯控制电路设计 由一条主干道和一条支干道的汇合点形成十字交叉路口,为确保车辆安全、迅速地通行,在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯亮禁止通行;绿灯亮允许通行;黄灯亮则给行驶中的车辆有时间停靠在禁行线外。实现红、绿灯的自动指挥对城市交通管理现代化有着重要的意义。 一、设计目的 1.掌握交通灯控制电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计任务与要求 1.用红、绿、黄三色发光二极管作信号灯。 2.当主干道允许通行亮绿灯时,支干道亮红灯,而支干道允许亮绿灯时,主干道亮红灯。 3.主支干道交替允许通行,主干道每次放行30s、支干道20s。设计30s和20s 计时显示电路。 4.在每次由亮绿灯变成亮红灯的转换过程中间,要亮5s的黄灯作为过渡,以使行驶中的车辆有时间停到禁止线以外,设置5s计时显示电路。 三、交通灯控制电路基本原理及电路设计 实现上述任务的控制器整体结构如图4-2-4 主干道信号灯支干道信号灯 译码驱动电路 主控制器时传钟感信器号 计时器 图4-2-4交通灯控制器结构图

1(主控制器 主控电路是本课题的核心,它的输入信号来自车辆的检测信号和30s、20s、5s 三个 定时信号,它的输出一方面经译码后分别控制主干道和支干道的三个信号灯,另一方面控制 定时电路启动。主控电路属于时序逻辑电路,可采用状态机的方法进行设计。 主控电路的输入信号有: 主干道有车A,1,无车A,0; 支干道有车B,1,无车B,0; 主干道有车过30s为L,1,未过30s为L=0; 支干道有车过20s为S,1,未过20s为S,0; 黄灯亮过5s为P,1,未过5s为P,0。 主干道和支干道各自的三种灯(红、黄、绿),正常工作时,只有4种可能,即4种状态: 主绿灯和支红等亮,主干道通行,启动30s定时器,状态为S; 0 主黄灯和支红灯亮,主干道停车,启动5s定时器,状态为S; 1 主红灯和支绿灯亮,支干道通行,启动20s定时器,状态为S; 2 主红灯和支黄灯亮,支干道停车,启动5s定时器,状态为S。 3 四种状态的转换关系如图4-2-5: 支道无车或主道有车未过30s 主绿灯主道有车支道有车支红灯或主支道均有车已亮过30s过5s S0未未主红灯主黄灯过过支黄灯支红灯S1S35s5s亮亮 S2 过5s支道无车或主主红灯道有车已过20s支绿灯亮

交通灯电路设计

第三章数字电路课程设计 课程设计1:交通灯逻辑控制电路设计 一、简述: 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。交通灯控制电路的系统框图如图3.1.1所示: 图3.1.1 交通灯控制器系统框图 二、设计任务和要求 设计一个十字路口交通信号灯控制器。基本要求如下: 1.满足图3.1.2顺序工作流程。图中设南北方向的红、黄、绿灯分别为NSR、NSY、NSG,东西方向的红、黄、绿灯分别为EWR、EWY、EWG。它们的工作方式有些必须是并行进行的,即南北方向绿灯亮,东西方向红灯亮;南北方向黄灯亮,东西方向红灯亮;南北方向红灯亮,东西方向绿灯亮;南北方向红灯亮,东西方向黄红灯亮。 2.应满足两个方向的工作时序:即东西方向亮红灯时间应等于南北方向亮黄、绿灯时间之和,南北方向亮红灯时间应等于东西方向亮黄、绿灯时间之和。时序工作流程图3.1.3所示。图3.1.3中,假设每个单位时间为3秒,则南北、东西方向绿、黄、红灯亮时间分别15秒、3秒、18秒,一次循环为36秒。其中红灯亮

的时间为绿灯、黄灯亮的时间之和。 图3.1.3 交通灯时序工作流程图 3.十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间。具体为:当某方向绿灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到绿灯灭为止;当黄灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到黄灯灭为止;当红灯亮时,置显示器为0,然后以每秒加1计数方式方式工作,直至加到红灯灭为止。例如:假设每个单位时间为3 秒,当南北方向从红灯转换成绿灯时,置南北方向数字显示为0,并使数显计数器开始加“1”计数,当加到绿灯灭而黄灯亮时,数显的值应从14跳回到0,同时黄灯亮,黄灯计数,当数显值从2跳到0时,此时黄灯灭,而南北方向的红灯亮;红灯计数加“1”计数,当加到红灯灭时,数显的值应从17跳回到0。同时,使得东西方向的绿灯亮,并置东西方向开始计数。 4.扩展功能: (1)用LED 发光二极管模拟汽车行驶电路。当某一方向绿灯亮时,这一方向的1 2 3 4 5 6 7 8 9 10 11 12 1 2 3 4 5 6 NSG t

交通灯控制电路

交通灯控制电路 交通灯的课程设计 [要点提示] 一、实验目的 二、实验预习要求 三、实验原理 四、实验仪器设备 五、练习内容及方法 六、实验报告 七、思考题 [内容简介] 一、设计任务与要求 1(设计一个十字路口的交通灯控制电路,要求甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒; 2(要求黄灯先亮5秒,才能变换运行车道; 3(黄灯亮时,要求每秒钟闪亮一次。 二、实验预习要求 1(复习数字系统设计基础。 2(复习多路数据选择器、二进制同步计数器的工作原理。 3(根据交通灯控制系统框图,画出完整的电路图。 三、设计原理与参考电路 1(分析系统的逻辑功能,画出其框图

交通灯控制系统的原理框图如图12、1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中: TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。 2(画出交通灯控制器的ASM图12、1 交通灯控制系统的原理框图(Algorithmic State Machine,算法状 态机)

(1)图甲车道绿灯亮,乙车道红灯亮。表示甲车道上的车辆允许通行,乙车道 禁止通行。绿灯亮足规定的时间隔TL时,控制器发出状态信号ST,转到下一工作状态。 (2)甲车道黄灯亮,乙车道红灯亮。表示甲车道上未过停车线的车辆停止通 行,已过停车线的车辆继续通行,乙车道禁止通行。黄灯亮足规定时间间隔TY 时,控制器发出状态转换信号ST,转到下一工作状态。 (3)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上的车辆允 许通行绿灯亮足规定的时间间隔TL时,控制器发出状态转换信号ST,转到下一工作状态。 (4)甲车道红灯亮,乙车道黄灯亮。表示甲车道禁止通行,乙车道上位过县停 车线的车辆停止通行,已过停车线的车辆停止通行,已过停车线的车辆继续通行。黄灯亮足规定的时间间隔TY时,控制器发出状态转换信号ST,系统又转换到第(1)种工作状态。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如表12、1所示,控制器应送出甲、乙车道红、黄、绿灯的控制信号。为简便起见,把灯的代号和灯的驱动信号合二为一,并作如下规定: 表12、1 控制器工作状态及功能 控制状态信号灯状态车道运行状态 S0(00) 甲绿,乙红甲车道通行,乙车道禁止通行 S1(01) 甲黄,乙红甲车道缓行,乙车道禁止通行 S3(11) 甲红,乙绿甲车道禁止通行,甲车道通行 S2(10) 甲红,乙黄甲车道禁止通行,甲车道缓行 AG=1:甲车道绿灯亮;

卷帘门控制箱控制电路图

防火卷帘门控制器原理、使用说明、故障维修 ⑴基本功能: ①手动控制卷帘门上行、下行、停止功能 ②接收烟温感信号自动控制完成一次下滑,中间停留和二次下滑功能 ③接收消防中心信号自动控制完成一次下滑,中间停留和二次下滑功能 ④火警状态:卷帘门运行到底时按任意键皆为上升至中位延时后二次降到底 ⑤门位指示输出(上限、中位、下限) ⑵辅助功能: ①电源、相序运行错误状态,灯光闪动指示功能 ②火警声光报警功能 ⑶保护功能: ①电源进线相序自动检测和相序改变后自动保护功能 ②过载自动保护功能 ③缺相自动保护功能 2、性能参数 ⑴一次下滑时间可调范围0~600s,中间停留时间可调范围0~600s ⑵报警音量可达100dB ⑶所有输出点容量:AC220V/5A、DC30V/5A ⑷电源进线缺相或相序错误系统8s内保护 ⑸系统功耗<15W,高节能 ⑹接消防中心信号是有源信号,反馈消防中心信号是无源信号 ⑺外接正常指示灯为6.3V、1W ⑴限位开关未调整前在无人监控状态下,电控箱不可处于通电状态 ⑵电控箱正式投入运行后,每月应进行两次运行检查 ⑶按键指令门不动作:先检查三相电源是否缺相,三相电源进线的相序是否接错,停止键是否接在常开触点上。 ⑷外接正常指示灯应安装在显眼处,以便检查。

故障现象可能的故障原因故障排除方法 接通电源8秒后正常灯闪动1、相位有误 2、线路断相,或保险丝断1、将三相电的任意两条相线对调 2、接通断相的相线、更换保险丝 接通电源所有功能皆不能动作1、按钮开关的停(T)、上行(XA)、 下行(SA)开关未按要求接成常 开触点方式 2、上限位和下限位开关未按要求 接成常闭触点方式 1、按要求将按钮开关的停(T)、上行 (XA)、下行(SA)开关接成常开触 点方式 2、按要求将上限位和下限位开关接成 常闭触点方式 基本操作正常,在把编程开关SW1(SW2)拨至“OFF”状态时,门自动下行。(正常时门应自动上行至上限位)1、上限位和下限位控制线接反 2、电机线接反 1、将上限位和下限位控制线对调 2、将电机线的任意两条线对调 接上烟感器或温感器时门马上动作烟感器或温感器的正负极接反或 正负极短路 将烟感器或温感器的正负极正确连接 且其正负极不能短路 当烟感器或温感器达到预定浓度或温度时门不动作烟感器或温感器内的输出线接错按要求连接好烟感器或温感器的输出 线,或更换新的烟感器或温感器

交通灯控制电路设计与仿真

交通灯控制电路设计与仿真 一、实验目的 1、了解交通灯的燃灭规律。 2、了解交通灯控制器的工作原理。 3、熟悉 VHDL 语言编程,了解实际设计中的优化方案。 二、实验原理 交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。要完成本实验,首先必须了解交通路灯的燃灭规律。本实验需要用到实验箱上交通灯模块中的发光二极管,即红、黄、绿各三个。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 在实验中使用 8 个七段码管中的任意两个数码管显示时间。东西路和南北路的通车时间均设定为 20s。数码管的时间总是显示为 19、18、17……2、1、0、19、18……。在显示时间小于 3 秒的时候,通车方向的黄灯闪烁。 三、实验内容 本实验要完成任务就是设计一个简单的交通灯控制器,交通灯显示用实验箱 的交通灯模块和七段码管中的任意两个来显示。系统时钟选择时钟模块的 1KHz 时钟,黄灯闪烁时钟要求为 2Hz,七段码管的时间显示为 1Hz脉冲,即每 1s 中递 减一次,在显示时间小于 3 秒的时候,通车方向的黄灯以 2Hz 的频率闪烁。系统 中用 S1 按键进行复位。 实验箱中用到的数字时钟模块、按键开关、数码管与 FPGA 的接口电路,以及 数字时钟源、按键开关、数码管与 FPGA 的管脚连接在以前的实验中都做了详细说 明,这里不在赘述。交通灯模块原理与 LED 灯模块的电路原理一致,当有高电平输 入时 LED 灯就会被点亮,反之不亮。只是 LED 发出的光有颜色之分。其与 FPGA 的 管脚连接如下表 19-1 所示: 四、实验步骤 1、打开 QUARTUSII 软件,新建一个工程。 2、建完工程之后,再新建一个 VHDL File,打开 VHDL 编辑器对话框。

交通灯管理电路设计

第1章概述 随着我国社会经济的发展,城市化、城镇化进程的加快,道路交通堵塞问题日趋严重,如何对交通进行合理的管理和调度而尽可能减少堵车现象成为目前我国很多地方尤其是特大城市急需解决的问题,显然交通灯在其中起着不可缺少的作用。 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。 1858年,在英国伦敦主要街头安装了以燃煤气为光源的红、蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两种旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,1914年开始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。 1918年,又出现了带控制的红绿灯和红外线红绿灯。带控制的红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。1968年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。 我国机动车辆发展迅速,而城镇道路建设由于历史等各种原因相对滞后。道路拥挤、阻塞现象及交通事故常有发生。如何利用当今自动控制技术,有效地疏导交通,提高城镇交通路口的通行能力,提高车辆速度,减少交通事故是值得我

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

交通灯控制逻辑电路设计

交通灯控制逻辑电路设计 一、简述 为了确保十字路口的车辆顺利畅通地行驶,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R)亮,表示该条道路禁止通行;黄灯(Y)亮表示停车;绿灯(G)亮表示允许通行。 交通灯控制器的系统框.图如图4.1 所示。

二、设计任务和要求 设计一个十字路口交通信号灯控制器,其要求如下: 1.设南北方向的红、黄、绿灯分别为NSR,NSY,NSG;东西方向的红、黄、绿灯 分别为EWR,EWY,EWG,则满足图4.1 的工作 流程并且可以并行工作: NSG(EWR)→ NSR(EWG),黄灯用 于闪烁提示绿灯变为红灯。 2.满足两个方向的工作时序:东西方向红灯亮的时间应等于南北方 向黄、绿灯亮的时间之和;南北方向红灯亮的时间应等于东西方 向黄、绿灯亮的时间之和。时序工作流程见图4.2所示:

图4.3中,假设每个单位时间为2秒,则南北、东西方向的绿、黄、红灯亮的时间分别为12秒、2秒、12秒,一次循环为24秒。 其中红灯亮的时间为绿灯、黄灯亮的时间之和,黄灯是间歇闪耀。 3.十字路口要有数字显示装置,作为时间提示,以便人们更直观地 把握时间。具体要求为:当某方向绿灯亮时,置计数器为某一个 数值,然后以每秒减1的计数方式工作,直至减到数为“0”,十 字路口红、绿灯交换,一次工作循环结束,进入另一个方向的工 作循环。 例如:当南北方向从红灯转换成绿灯时,置南北方向数字显示为 12,并使数显计数器开始减“1”计数,当减法计数到绿灯灭而黄 灯亮(闪耀)时,数码管显示的数值应为2,当减法计数到“0” 时,黄灯灭,而南北方向的红灯亮;同时,使得东西方向的绿灯 亮,并置东西方向的数码管的显示为12。 4.可以手动调整脉冲时间,夜间为黄灯闪耀。 三、设计方案提示

交通灯控制电路

武汉工程大学邮电与信息工程学院实验报告 姓名张宇学号7402150330 指导老师 专业07自动化班级 03 实验室日期 实验题目交通灯控制器的EWB仿真 一、实验目的 通过前面几节的阐述,大家对EWB软件中的元件及仪器的应用有了一定的概念,所以这一节选择了综合性较强的电路——交通灯控制器的仿真设计。 二、实验内容 设计一个主要街道和次要街道十字路口的交通灯控制器。当主要街道绿灯亮6秒时,次要街道的红灯亮;接着主要街道黄灯亮2秒,次要街道的红灯仍然亮;紧接着次要街道的绿灯亮3秒,这时主要街道红灯亮;然后次要街道的黄灯亮1秒,主要街道红灯依然亮;最后主要街道绿灯亮,次要街道变红灯,依次顺序循环控制。 三、实验原理 根据以上要求可知主要街道从绿灯亮到下一次绿灯亮共需12秒,由上述要求可列出这六个灯的真值表,如表8-2所示,其中MG、MY、MR、CG、CY、CR分别表示主要街道的绿灯、黄灯、红灯,次要街道的绿灯、黄灯、红灯。各灯的函数表达式克由EWB的逻辑转换仪获得。因为一次循环需要12秒,所以输入端可应用74LS163芯片来完成时间上的控制。其管脚和功能表基本上与74LS160一样,只是它是二进制计数器,时钟为下跳边触发。首先,12秒一循环相当是一

个模12技术,因此应将Q D、Q B和Q A通过一与非门接到芯片的CR清零端;其次,CP时钟端应输入1Hz的脉冲信号(这一元件可在电源元件库中找到,点中该元件后按鼠标右键,在属性一栏中修改它的输出频率为1Hz);最后,根据下面所得的函数表达式完成电路设计。 M G D C D B D C D B =+=? = M Y C B = M R D =+=? C G D B D A D B D A = C Y D B A = C R D 四、交通灯控制器电路的实现及结果仿真 由上述函数式可画出相应的电路图,按图所示的电路进行仿真,结果达到预期目的。为更直观地观察各灯之间的关系,我们在上图的基础上,街上逻辑分析仪进行仿真测试。如果出错,从图中也能很直观

水泵液位控制电路原理图

西安祥天和电子科技有限公司详情咨询官网https://www.doczj.com/doc/404173442.html, 主营产品:液位传感器水泵控制箱报警器GKY仪表液位控制系统,液位控制器,无线传输收发器等 水泵液位控制电路原理图 水泵液位自动控制系统的主要由以下三个部分组成: 液位信号的采集液位信号的传输水泵控制系统 1.液位信号的采集 液位信号的采集主要是选择合适的液位传感器。液位传感器的发展从最早的电极式、UQK/GSK传统浮子、到现在的压力式、光电式和GKY液位传感器等,形成了多种液位控制方式。电极式便宜简单,但在水中会吸附杂质,使用寿命短。传统浮子与相对滑动轨道之间只有1mm 左右的细缝,很容易被脏东西卡住,可靠性较低。这些是不能在污水中使用的。光电式也不能用于污水,因为玻璃反射面脏了就会出现误判断。GKY液位传感器可以弥补这些缺陷,在污水和清水中可以使用。所以液位控制的系统设计应该根据具体使用环境慎重选择传感器,如果选择不当,将会导致控制系统故障频发,甚至瘫痪,这是导致现有很多液位自动控制系统使用不到一年就失灵的重要原因。 不同液位传感器检测液位的原理是不同的,具体可参见百度文库中“如何选择液位传感器”“什么是液位开关液位开关原理”等文章。 2.液位信号的传输 液位信号的传输可以有有线和无线两种方式。有线就是通过普通电缆线或屏蔽线传输,大部分传统液位传感器通过普通的BV线就可以了,传输信号易受干扰的压力式、电容式传感器需要用屏蔽线传输而且距离不能太远。 在传输距离远或不方便铺设传输线路的场所,需要使用无线液位传输系统。无线液位传输系统可以有多种方式:第一种是直接采用无线收发设备传输液位信号,如GKY-WX。第二种是借助于通讯网络的短信收发功能将液位信号传达到目的地,如GKY-DXSF。第三种是目前最流行一种传输方式,就是借助中间服务器平台,采用流量卡来传输液位信号,如 GKY-GPRSSF。

交通灯控制电路设计.

交通灯控制电路设计作者姓名:2B 专业名称:测控技术与仪器 指导教师:2B 讲师

摘要 本设计主要分为三大模块:输入控制电路、时钟控制电路和显示电路。以AT89C51单片机为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红灯、绿灯和黄灯的燃亮功能;为了系统稳定可靠,采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P2口输出,由CD4511驱动LED数码管显示红灯燃亮时间。 关键词:AT89C51 LED显示交通灯控制

Abstract This design mainly divided into three modules: input control circuit, control circuit and the clock display circuit. With AT89C51 single-chip microcomputer as the center device to designing traffic light controller, realize the AT89C51 chip P0 mouth red lights, a green light and set up the yellow lights brighten function; In order to system is stable and reliable, and USES 74 LS14 Schmitt toggle circuit chip away shaking, to avoid the system for the input signal jitter produce false operation; Show time directly through the P2 mouth AT89C51 output, driven by CD4511 LED digital display red light lit the time. Keywords: AT89C51, LED, display, traffic, control

断路器控制回路原理

第5 章断路器控制回路 教学目的:掌握断路器控制方式、断路器控制回路的基本要求、断路器的基本跳、合闸控制回路、灯光监视的断路器控制回路、灯光监察液压操作机构操作断路器控制回路 复习旧课:操作电源概述、蓄电池组直流操作直流、硅整流电容储能装置直流系统、复式整流装置直流系统、直流系统的绝缘监察与电压监察装置; 重点:掌握断路器控制方式、断路器控制回路的基本要求、断路器的基本跳、合闸控制 回路、灯光监视的断路器控制回路、灯光监察液压操作机构操作断路器控制回路; 难点:掌握断路器控制方式、断路器控制回路的基本要求、断路器的基本跳、合闸控制 回路、灯光监视的断路器控制回路、灯光监察液压操作机构操作断路器控制回路; 引入新课: 第一节概述 一、断路器控制方式 断路器是电力系统中最重要的开关设备,在正常运行时断路器可以接通和切断电气设备 的负荷电流,在系统发生故障时则能可靠地切断短路电流。 断路器一般由动触头、静触头、灭弧装置、操动机构及绝缘支架等构成。为实现断路器 的自动控制,在操动机构中还有与断路器的传动轴联动的辅助触头。断路器的控制方式有多种,分述如下。 1. 按控制地点分 断路器的控制方式接控制地点分为集中控制和就地(分散)控制两种。 (1)集中控制。在主控制室的控制台上,用控制开关或按钮通过控制电缆去接通或断 开断路器的跳、合闸线圈,对断路器进行控制。一般对发电机、主变压器、母线、断路器、 厂用变压器35kV 以上线路等主要设备都采用集中控制。 (2)就地(分散)控制。在断路器安装地点(配电现场)就地对断路器进行跳、合闸操 作(可电动或手动)。一般对10kV 线路以及厂用电动机等采用就地控制,可大大减少主 控制室的占地面积和控制电缆数。 2. 按控制电源电压分 断路器的控制方式接控制电源电压分为强电控制和弱电控制两种。 (1)强电控制。从断路器的控制开关到其操作机构的工作电压均为直流110V 或220V 。 (2)弱电控制。控制开关的工作电压是弱电(直流48V),而断路器的操动机构的电压 是220V。目前在500kV 变电所二次设备分散布置时,在主控室常采用弱电一对一控制。 3. 按控制电源的性质分 断路器的控制方式按控制电源的性质可分为直流操作和交流操作(包括整流操作)两 种。 直流操作一般采用蓄电池组供电;交流操作一般是由电流互感器、电压互感器或所用 变压器提供电源。

相关主题
文本预览
相关文档 最新文档