当前位置:文档之家› 数字电子与逻辑设计期末试卷A卷)

数字电子与逻辑设计期末试卷A卷)

数字电子与逻辑设计期末试卷A卷)
数字电子与逻辑设计期末试卷A卷)

湖州师范学院求真学院 2010 — 2011学年第 二 学期

《数字电子与逻辑设计》期末考试试卷(A 卷)

适用班级 102831/102832 考试时间 120 分钟

学院 班级 学号 姓名 成绩

一、(每小题3分,共27分)选择题

1.下列四个数中与十进制数(163)10不相等的是 。 (A )(A3)16 (B )(10100011)2 (C )(000101100011)8421BCD (D )(100100011)8 2.将TTL 与非门作非门使用,则多余输入端应做 处理。 (A )全部接高电平 (B )部分接高电平,部分接地 (C )全部接地 (D )部分接地,部分悬空

3.由或非门构成的基本RS 触发器,输入S 、R 的约束条件是 。 (A )SR=0 (B )SR=1 (C )S+R=0 (D )S+R=1 4.T 触发器,在T=1时,加上时钟脉冲,则触发器 。 (A )保持原态 (B )置0 (C )置1 (D )翻转 5.下列等式不成立的是 。

(A )B A B A A +=+

(B )BC A C A B A +=++))(( (C )BC AB BC C A AB +=++ (D )1=+++B A AB B A B A 6.如果编码0100表示十进制数4,则此码不可能是( )。 (A.) 8421BCD 码 (B.) 5421BCD 码 (C.) 2421BCD 码 (D.) 余3码

7.下逻辑图的逻辑表达式为( )。

(A )Y=AB·CD (B) Y=AB+CD (C) Y=AB+CD (D) Y= AB·CD 8.下列关于异或运算的式子中,不正确的是( )。 (A.) A ⊕A = 0 (B.) A ⊕A = 1 (C.) A ⊕0 = A (D.) A ⊕1 = A 9.标准与或是是由( )构成的逻辑表达式。

(A.)与项相或 (B.) 最小项相或 (C.) 最大项相与 (D.) 或项相与

二、(14分)如图所示为一工业用水容器示意图,图中虚线表示水位,A 、B 、C 电极被水浸没时会有信号输出,试用与非门构成的电路来实现下述控制作用:水面在A 、B 间,为正常状态,亮绿灯G ;水面在B 、

C 间或在A 以上为异常状态,点亮黄灯Y ;水面在C 以下为危险状态,

点亮红灯R 。要求用最少的与非门画出逻辑电路图。

A

B C

三、(12分)电路如图所示,请画出在输入信号作用下,对应的输出Q1,Q2,L3的波形。(设触发器均为边沿触发器,且初态为0)

四、(9分)试画出用3线—8线译码器74HC138和门电路产生如下多

输出逻辑函数的逻辑图。

B

“1” C C

A

B

C

Q1

Q2

L3

1D

C1

A

Q2

Q2

=

1J

C1

A

Q1

Q1

1K

1

B

C

五、(8分)试写出该电路的逻辑表达式。

得分

A

1

2

3

B

C D 1 2 3 4 5 6 7 8 9 10 1 2 3

4 5 6

7 8 9

10 11 12

1 2 3 4 5 6 7 8 9 10

六、(15分)译码器真值表如下表所示,试用74HC138实现该译码器。

真值表

选择输入

D C B A

译码输出0 1 2

3 4 5 6 7 8 9

0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1

0 1 0 0

1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 1

1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 0

七、(15分)分析下图,写出各触发器的激励方程、电路的状态方程

和输出方程,画出状态表和状态图,概述电路的逻辑功能。

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字电路与逻辑设计试卷(有答案)

数字电路与逻辑设计(A 卷) 班级 学号 姓名 成绩 一.单项选择题(每题1分,共10分) 1.表示任意两位无符号十进制数需要( )二进制数。 A .6 B .7 C .8 D .9 2.余3码10001000对应的2421码为( )。 A .01010101 B.10000101 C.10111011 D.11101011 3.补码1.1000的真值是( )。 A . +1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 4.标准或-与式是由( )构成的逻辑表达式。 A .与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 5.根据反演规则,()()E DE C C A F ++?+=的反函数为( )。 A. E )]E D (C C [A F ?++= B. E )E D (C C A F ?++= C. E )E D C C A (F ?++= D. E )(D A F ?++=E C C 6.下列四种类型的逻辑门中,可以用( )实现三种基本运算。 A. 与门 B. 或门 C. 非门 D. 与非门 7. 将D 触发器改造成T 触发器,图1所示电路中的虚线框内应是( )。 图1 A. 或非门 B. 与非门 C. 异或门 D. 同或门 8.实现两个四位二进制数相乘的组合电路,应有( )个输出函数。 A . 8 B. 9 C. 10 D. 11 9.要使JK 触发器在时钟作用下的次态与现态相反,JK 端取值应为( )。 A .JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( )个异或门。 A .2 B. 3 C. 4 D. 5 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记

数字电路与逻辑设计II答案(A卷)

华南农业大学期末考试试卷(A卷) 2005学年第二学期考试科目:数字电路与逻辑设计Ⅱ_ 考试类型:(闭卷)考试时间: 120__ 学号姓名年级专业____________ 一.选择题(下列每题有且仅有一个正确答案,每题2分,共20分)1.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 2.一个触发器可记录一位二进制代码,它有个稳态。 A.0 B.1 C.2 D.3 E.4 3.存储8位二进制信息要个触发器。 A.2 B.3 C.4 D.8 4.把一个五进制计数器与一个四进制计数器串联可得到进制计数器。 A.4 B.5 C.9 D.20 5.下列逻辑电路中为时序逻辑电路的是。 A.变量译码器 B.加法器 C.数码寄存器 D.数据选择器 6.N个触发器可以构成最大计数长度(进制数)为的计数器。 N A.N B.2N C.N2 D.2 7.N个触发器可以构成能寄存位二进制数码的寄存器。 A.N-1 B.N C.N+1 D.2N 8.五个D触发器构成环形计数器,其计数长度为。 A.5 B.10 C.25 D.32 9.欲设计0,1,2,3,4,5,6,7这几个数的计数器,如果设计合理,采用同步二进制计数器,最少应使用级触发器。 A.2 B.3 C.4 D.8 10.8位移位寄存器,串行输入时经个脉冲后,8位数码全部移入寄存器中。

A.1 B.2 C.4 D.8 11.要产生10个顺序脉冲,若用四位双向移位寄存器CT74LS194来实现,需片。 A.3 B.4 C.5 D.10 12.若要设计一个脉冲序列为1101001110的序列脉冲发生器,应选用个触发器。 A.2 B.3 C.4 D.10 13.随机存取存储器具有功能。 A.读/写 B.无读/写 C.只读 D.只写 14.只读存储器ROM在运行时具有功能。 A.读/无写 B.无读/写 C.读/写 D.无读/无写 15.只读存储器ROM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为0 C.不可预料 D.保持不变 16.随机存取存储器RAM中的内容,当电源断掉后又接通,存储器中的内容。 A.全部改变 B.全部为1 C.不确定 D.保持不变 17.一个容量为512×1的静态RAM具有。 A.地址线9根,数据线1根 B.地址线1根,数据线9根 C.地址线512根,数据线9根 D.地址线9根,数据线512根 18.PROM的与陈列(地址译码器)是。 A.可编程阵列 B.不可编程阵列 C.可编程阵列 D.不可编程阵列 19.PROM和PAL的结构是。 A.PROM的与阵列固定,不可编程 B. PROM与阵列、或阵列均不可编程 C.PAL与阵列、或阵列均可编程 D. PAL的与阵列可编程 20.PLD器件的基本结构组成有。 A. 与阵列 B.或阵列 C.输入缓冲电路 D.输出电路 21.只可进行一次编程的可编程器件有。 A.PAL B.GAL C.PROM D.PLD 22.可重复进行编程的可编程器件有。 A.PAL B.GAL C.PROM D.ISP-PLD 23.全场可编程(与、或阵列皆可编程)的可编程逻辑器件有。 A.PAL B.GAL C.PROM D.PLA 24.

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字电路与逻辑设计试题四及答案

《数字集成电路基础》试题四 (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、 填空题(共30分) 1. PN 结具有单向导电性。正向偏置时,多子以 __________________运动为主,形成正向电流;反向偏置时,少子____________________运动形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、_____、_____。 3. 除去高、低电平两种输出状态外,三态门的第三态输出称为________状态。 4. 十进制数238转换成二进制数是______;十六进制数是_______。 5. 组合逻辑电路不存在输出到输入的________通路,因此其输出状态不影响输入状态。 6. 对于上升沿触发的D 触发器,它的次态仅取决于CP ______沿到达时________的状态。 7. 同步RS 触发器的特性方程为Q n+1=____________;约束方程为_________。 8. 下图所示电路中,Y 1 =__________;Y 2 =____________;Y 3 二、选择题(共 15分) B V C C Y 1

1. 下列函数中,是最小项表达式形式的是_________ A. Y=A+BC B. Y 2 =ABC+ACD C. Y=AB C+ABC D. Y=A BC+ABC 2. 对于四位二进制译码器,其相应的输出端共有________ A . 4个 B. 16个 C. 8个 D. 10个 3.用8421码表示的十进制数45,可以写成__________ A . 45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.属于组合逻辑电路的是___________ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.某计数器的状态转换图如下,其计数的容量为______ A . 八 B. 五 C. 四 D. 三 三、化简下列逻辑函数,写出最简与或表达式(共15分) 1. Y 1=AB +AC +A BC 2. Y 2=Σm (0,2,3,4,5,7) 3. Y 3见图

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

专升本《数字电路与逻辑设计》_试卷_答案

专升本《数字电路与逻辑设计》 一、(共75题,共150分) 1. 十进制数用二进制表示应为:()(2分) B.1100.11 C. 标准答案:B 2. 无符号位的十六进制数减法(A9)l6-(8A)16=()(2分) A.(19)16 B.(1F)l6 C.(25)16 D.(29)16 标准答案:B 3. 十进制数15用2421 BCD 码可以表示为()。(2分) .01001000 C 标准答案:C 4. 8421 BCD码对应的二进制数为 ( ) (2分) B.110011.10 C. 标准答案:B 5. 二进制数-0110的反码是(最高位是符号位)()(2分) .11001 C 标准答案:B 6. 如果状态A与B,C与D分别构成等效对,那么能构成状态等效类的是()(2分)标准答案:A 7. 四个变量可以构成多少个最小项()(2分) 个个个个 标准答案:D 8. 逻辑函数Y=可化简为:( ) (2分)A. B. +AB +AC 标准答案:D 9. 逻辑函数F(A,B,C) = AB+BC+AC的标准表达式是( ) (2分) A.∑m(3,5,6,7) B.∑m(0,1,2,4) C.∏m(1,3,5,7) D.∑M(0,2,4,6) 标准答案:A 10. 函数,则其反函数( ) (2分)A. B. C. D. 标准答案:B 11. 逻辑函数等于()(2分) A. 标准答案:B 12. 三变量构成的逻辑函数的最小项m1和最小项m7一定满足( ) (2分) A. B.

C. D. 标准答案:C 13. 下图为OC门组成的线与电路其输出F为(2分) C. D. 标准答案:B 14. 要求RS触发器(R、S均为高电平有效)状态由0 →1,其输入信号为()。(2分)=01 =1 C=d0 =10 标准答案:A 15. JK触发器的J=K=1,当触发信号到来时,输出次态Qn+1为:( ) (2分) B.0 C.不变 D.与现态相反 标准答案:D 16. 设计—个1位十进制计数器至少需要多少个触发器( ) (2分) 个个个个 标准答案:B 17. T型触发器当时钟脉冲输入时,其输出状态()(2分) A.保持不变 B.在T=1时会发生改变 C.等于输入端T的值 D.随时间改变 标准答案:B 18. 移位寄存器74194工作在左移串行输入方式时,S1 S0的取值为( ) (2分) .01 C 标准答案:C 19. LED共阴极七段显示器可由下列哪一个IC来推动七字节较适宜()(2分) .7447 C 标准答案:C 20. 电源电压为+12V的555集成定时器中放电三极管工作在截止状态,输出端OUT为1时,其TH 和TR的输入电压值分别为 ( ) (2分) A., 和TR 均大于 C., 和TR 均小于 标准答案:A 21. 逻辑函数,是F的对偶函数,则()。(2分) A. B. C. D. 标准答案:A,C,D 22. 下列逻辑表达式中正确的有()。(2分) A. B. C. D. 标准答案:B,C,D

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字电路与逻辑设计模拟试卷一

北京语言大学网络教育学院 《数字电路与逻辑设计》模拟试卷一 注意: 1、试卷保密,考生不得将试卷带出考场或撕页,否则成绩作废。请监考老师负责监督。 2、请各位考生注意考试纪律,考试作弊全部成绩以零分计算。 3、本试卷满分100分,答题时间为90分钟。 4、本试卷分为试题卷和答题卷,所有答案必须答在答题卷上,答在试题卷上不给分。 一、单项选择题(本大题共10小题,每小题2分,共20分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、下列四个数中,最大的数是()。 [A] (AF)16[B] (001010000010)8421BCD [C] (10100000)2[D] (198)10 2、触发器有两个稳态,存储8位二进制信息要()个触发器。 [A] 2 [B] 8 [C] 16 [D] 32 3、下列门电路属于双极型的是()。 [A] OC门[B] PMOS [C] NMOS [D] CMOS 4、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为()。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X 5、以下各电路中,()可以产生脉冲定时。 [A] 多谐振荡器[B] 单稳态触发器 [C] 施密特触发器[D] 石英晶体多谐振荡器 6、下列逻辑电路中为时序逻辑电路的是()。 [A] 变量译码器[B] 加法器[C] 数码寄存器[D] 数据选择器 7、同步时序电路和异步时序电路比较,其差异在于后者()。 [A] 没有触发器[B] 没有统一的时钟脉冲控制 [C] 没有稳定状态[D] 输出只与内部状态有关 8、当用专用输出结构的P A L设计时序逻辑电路时,必须还要具备有()。 [A] 触发器[B] 晶体管[C] M O S管[D] 电容 9、当用异步I/O输出结构的P A L设计逻辑电路时,它们相当于()。 [A] 组合逻辑电路[B] 时序逻辑电路 [C] 存储器[D] 数模转换器 10、要构成容量为4K×8的RAM,需要()片容量为256×4的RAM。 [A] 2 [B] 4 [C] 8 [D] 32

数字电路逻辑设计试卷

数字电路逻辑设计(A 卷) 一、填空题(本大题共22分) 1、(本小题3分)十进制数 126,对应8421BCD 码 ,二进制数 ,十六进制 数 。 2、(本小题2分)二进制负整数 –1011011,补码表示为 ;反码表示为 。 3、(本小题4分)逻辑函数BD AD B A D A F +++=))((的反演式为 ;对偶式为 。 4、(本小题2分)三输入端TTL 与非门如图所示,图中A 点的电位为 F 点的电位为 。 5、(本小题3分)八选一数据选择器电路如图,该电路实现的逻辑函数是F= 。 6、(本小题3分)由集成异步计数器74LS290构成图示电路,该电路实现的是 进制计数器。 +5V 0.3V F A & 2K Ω 3K Ω 八选一数据选择器 A 0 A 1 A 2 D 0 D 1 D 2 D 3 D 4 D 5 D 6 D 7 F A B “1” Q 0 Q 1 Q 2 Q 3

7、(本小题3分)逻辑函数AC C B B A F+ + =,它的与非表达式为F= ;与或非表达式为F= ;或非—或非表达式为F= 。 8、(本小题2分)用555设计的多谐振荡器,要求振荡周期T=1~10s,电容C=100μF。则电阻R的 范围是。 二、(本题10分)图示电路中,A、B是输入数据变量,C3、C2、C1、C0是控制变量。写出输出Y的逻 辑表达式,并说明该电路C3、C2、C1、C0为不同控制状态时是何种功能电路? 三、(本题8分)写出图示ROM阵列输出函数的逻辑表达式,列出真值表,说明逻辑功能。 四、(本题8分)用3线—8线译码器和必要的门电路实现逻辑函数。 (,,) F A B C ABC BC A C =++ 五、(本题10分)已知JK信号如图所示,请分别画出主从JK触发器和负边沿JK触发器的输出波形。设 触发器初始状态为0。 1 A0 1 A1 1 A2 F1 F0 CP J

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

数字电路逻辑设计1

第一阶段练习题 一、填空题 1.BCD码都以四位二进制数来表示1位十进制数,常用的BCD码有8421 码、2421码、余3码等。 2.8421码01000101.1001对应的十进制数为45.9 ,余3码为01111000.1100。 3.通常将逻辑量在形式上数字化,即用逻辑“ 1 ”表示逻辑“真”,用逻辑“ 0 ” 表示逻辑“假”。 4.基本的逻辑关系有“与”逻辑、“或”逻辑及“非”逻辑三种。 5.当决定一事件结果的所有条件都满足时,结果才发生,这种条件和结果的关系就称为逻辑 “乘”或者“与”运算。 6.“与”运算的含义是:只有输入变量都为1时,输出变量才为 1 ;反之,只要输入 变量中有一个为0,输出变量便为0 。 7.在决定一事件结果的所有条件中,只要有一个或一个以上满足时结果就发生,这种条件和 结果的关系就称为逻辑“加”或者“或”运算。 8.或运算的含义是:只要输入变量中有一个或者一个以上为1,输出变量就为1;反之,只有输入变量都为0 时,输出变量才为0。 9.一事件结果的发生,取决于某个条件的否定,即只要条件不成立结果就发生,条件成立结 果反而不发生。这种条件和结果的关系就称为逻辑“非”。 10.逻辑函数的描述方法有逻辑表达式、真值表和逻辑图三种形式。 11.假定F、G都是具有n个相同变量的逻辑函数,对于这n个变量的2n种组合中的任 意一组输入,若F和G都有相同的输出,便称这两个函数相等。可以看出,两逻辑函数相等的 实质是它们的真值表完全相等。 12.逻辑代数表达式都是由“与”、“或”、“非”这三种基本运算组成的,其中“非” 运算优先级别最高,“或”运算优先级别最低。 13.与运算及或运算的分配律分别为:A(B+C)= AB+AC,A + B C = (A+B)(A+C)。 14.若B= 0 ,则A + B = A ,A B = 0 。 15.若B= 1 ,则A + B = 1 ,A B = A 。 16.若B≠A,则A + B = 1 ,A B = 0 。 17.由吸收律可知,A+A B C= A ,A(A+B+C)= A 。 18.由吸收律可知,A+A B C= A+BC、A(A+B+C)= A(B+C)。

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

《数字电路与数字逻辑》期末考试试卷A卷(1)

广西科技大学2015—2016学年第 1 学期课程考核 试题 考核课程数字电路与数字逻辑( A 卷)考核班级软件141、142 学生数 80 印数 85 考核方式闭卷考核时间 120 分钟 1、 选择题(每题2分,共20分) 1. 数字9的BCD码是1001,那么它的余三码是( A ) A 1100 B 1001 C 0110 D 1111 2. 若输入变量A、B全为1时,输出F为1,其余情况下,F为0,那么F与AB的关系是( B ) A B C D 3. 二进制数1100转换成十六进制数是( C ) A 12H B 0AH C 0BH D 0CH 4. 逻辑表达式( C ) A B C D 5. 组合逻辑电路通常由( A )组合而成。 A 门电路 B 计数器 C 触发器 D 寄存 器 6. 时序逻辑电路中一定包含( C ) A 门电路 B 计数器 C 触发器 D 寄 存器 7. 有2个与非门构成的基本RS触发器,如果要触发器输出保持不变,则( ) A B C D 8. T触发器,如果T端为高电平,那么CP脉冲来的时候,输出Q应( ) A 为0 B 为1 C 保持不变 D 翻

转 9. 时序逻辑电路输出状态的改变( ) A 仅与该时刻输入信号的状态有关 B 仅与时序电路的原状 态有关 C 与时序电路的原状态无关 D 与A、B两项皆有关 10. 以下( B )不是解决组合逻辑电路中竞争冒险现象的方法。 A 增加冗余项 B 使用卡诺图化简 C 增加选通脉冲 D 改变设计方法 2、 使用卡诺图法化简以下逻辑函数(每题5分,共20分) 1. 2. 3. 4. 3、 问答题(每题10分,共40分) 1. 分析下图所示的组合逻辑电路。 1) 写出逻辑表达式。(4分) 2) 画出真值表。(3分) 3) 说明其逻辑功能。(3分) 2. 现有一个T触发器,请使用必要的门电路将其转换成一个JK触发器。 3. 现有5个D触发器连接如下,RST是清零信号,清零之后 5个触

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工 作在临界状态,可采用办法 B 。 A CC V 增加、bm V 减小、p R 减小 B CC V 减小、bm V 增加、p R 增加 C CC V 减小、bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加

3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。 A 增益变大,选择性变好,带宽变宽 B 增益变大,选择性变差,带宽变宽 C 增益变大,选择性变好,带宽变窄 D 增益变小,选择性变好,带宽变窄 9. 包络检波器出现惰性失真的根本原因是 C 。 A 隔直电容 c C 取得不够大 B 检波二极管的折线化仅仅是一种工程近似,与实际情况不完全符合 C 时间常数RC 过大

相关主题
文本预览
相关文档 最新文档