当前位置:文档之家› 四人抢答器毕业设计

四人抢答器毕业设计

四人抢答器毕业设计
四人抢答器毕业设计

毕业论文题目:四人抢答器

院系机电工程系

班级

学生姓名

指导教师

2015年5月20日

四人抢答器的设计

摘要

本设计借助于QuartusⅡ软件在计算机上仿真制作了四人抢答器。在抢答类竞赛中,通过选手按动按键的先后,判定由哪位选手回答问题,并且实现倒计时和对选手加减分的功能。

本设计分为四个模块分别设计,每个模块完成不同的功能,分别实现抢答器的不同功能,各个模块共同作用,以实现整个设计的总体功能。

然后在QuartusⅡ软件中通过波形仿真,检验该设计的具体功能与要求相一致,实现了四人抢答器的相关功能

关键词:QuartusⅡ抢答器仿真抢答器电路、倒计时电路、报警电路、时序控制

目录

前言 (1)

第1章绪论 (2)

1.1抢答器设计要求 (2)

1.2基本功能 (2)

1.3扩展功能 (2)

第2章单元电路 (3)

2.1主控制模块: (3)

2.1.1主要功能 (3)

2.1.2电路图: (3)

2.1.3 电路图分析: (4)

2.2计分模块 (4)

2.3 10s倒计时模块 (7)

2.4 100s计时模块 (7)

2.5 警示音模块 (9)

第3章总体设计图 (10)

3.1 抢答器总设计图 (10)

3.2 抢答器工作原理 (11)

结论 (14)

谢辞 (15)

参考文献 (16)

前言

现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。

对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。

本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力、定时功能及复位功能。主持人通过控制开关使抢答器达到定时、复位的功能

第1章绪论

1.1抢答器设计要求

实现4人抢答时每人的分数累加,倒计时显示答题时间:

①每人设置一个抢答按钮。

②显示最快抢答选手的编号,并响铃。

③选手分数预置为50分,主持人控制加减分数,每次加减都是1分。

④提前抢答和超时抢答响铃,并显示选手编号。

1.2基本功能

1、可同时4名选手参赛,他们的编号分别为1、

2、

3、4,各用一个抢答按钮,按钮编号与选手编号相对应分别为S1、S2、S3、S4。

2、节目支持人设置一个控制开关,用来控制系统的清零和抢答

3、数字抢答器的应具有数码锁存、显示功能。抢答开始后,如果有选手抢答按钮,编号立即锁存,并在数码管上显示选手的编号,同时扬声器给出音响提示,封锁输入电路,禁止其他选手抢答。先抢答的选手编号一直保持到主持人将系统清零为止

1.3扩展功能

1、定时抢答器功能。抢答器定时为10秒,主持按下开始键后,定时器开始工作,开始倒计时,并在显示器上显示出来,同时扬声器发出声响。

2、参赛选手在设定时间内抢答(10s),抢答有效,定时器停止工作,显示器上显示的选手编号和抢答时间,并保持到主持人将系统清零为止。

3、当定时抢答时间已到,还没人抢答,本次抢答无效,系统报警,并封锁输入电路,禁止选手抢答,时间显示00

第2章单元电路

2.1主控制模块:

2.1.1主要功能

主控制电路的主要功能有两个:一是分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用:二是要使其他选手的按键操作无效。

2.1.2电路图:

图2-1-1 主控制部分电路图

图2-1-2 主控制部分电路图的封装图

2.1.3 电路图分析:

该电路有四个输入和三个输出:EN为使能端,只有当EN为1时,该电路才能正常工作,为0时输出保持EN端变化前的值不变;KCLR端为清零端,在新一轮抢答之前给它一段时间高电平,是输出选手号为0;START端为开始控制,当清零后,给该端口一个上升沿脉冲,“JISHI”端口变为高电平,控制10s计时器开始计时,选手就可以抢答了,否则选手按键无效;S[3..0]为四个选手的抢答端口,当有选手按下键时,q[3..0]端口输出选手的号码,sound置为高电平,控制声音输出。部分波形图如下:

图2-1-3 主控制部分电路图

2.2计分模块

2.2.1 主要功能

该部分电路主要是给选手加分或者减分,并将分数保持到下次变更以前,实现分数的累加、累减。

2.2.2 电路原理图

图2-2-1 计分部分电路图

图2-2-2 计分部分封装电路图

2.2.3 电路原理图分析

该部分电路主要实现对相应选手加分、减分。主要部分用两个74190组成了一个模100计数器,和其他器件共同实现了所要求的功能。

其中,REST是复位端口,比赛开始时,由主持人(点击按键即可)控制给其一段时间高电平,使四位选手的分数置为50。CHOSE端口是选手选择键,选择抢到答题机会的选手。DNUP键是加分、减分选择端口,和CLK 端口配合,实现对选手的加分、减分,当DUNP为0时,点击CLK键对所选选手加分,DUNP为1时,点击CLK键对所选选手减分。部分仿真波形图如下:

图2-2-3 计分部分波形图

2.3 10s 倒计时模块

2.3.1 主要功能:

主持人按下“START ”键时,10s 倒计时开始,当显示0s 时表示10s

内无人抢答,则保持0不变 ,并输出一个报警信号。

2.3.2 10s 计时部分电路图

VCC CLK

INPUT

VCC EN INPUT SOUND

OUTPUT

SHIMIAO[3..0]

OUTPUT

COUNTER

D C A B CLR

UP DN LDN QB BON CON QA QC QD 74192

inst VCC

AND3

inst8

GND

NOT

inst1

SHIMIAO0SHIMIAO1SHIMIAO2SHIMIAO3

图2-3-1 10s 计时部分电路图

EN CLK SHIMIAO[3..0]

SOUND

SHIMIAOJISHIQI

inst5

图2-2-2 10s 计时部分封装电路图

2.3.3 电路图分析

该部分由一个74192组成,实现10s 倒计时,当EN 为1时开始计时,

当显示0时,SOUND 输出高电平,控制声音电路发声。

2.4 100s 计时模块 2.4.1 主要功能

主有选手按下抢答键时,100s 倒计时开始,当显示00s 时表示100s

答题时间已到,则保持00不变 ,并输出一个报警信号。若在100s 内答题完毕,由主持人按下主控制电路的CLR 键,计时器重置为99。

2.4.2 计时部分电路图:

VCC

CLK

INPUT VCC en INPUT L[3..0]

OUTPUT

H[3..0]

OUTPUT

SOUND

OUTPUT

COUNTER

D C B A CLR

UP DN LDN QB QA CON QD QC BON 74193

inst1G N D

N A N D 4

i n s t 6

COUNTER

D C B A CLR

UP DN LDN QB QA CON QD QC BON 74193

inst2G N D

N A N D 4

i n s t 8

AND2

inst9

A N D 2

i n s t 10

AND3

inst11

O R 2

i n s t 13

NOT

inst5

VCC

VCC

H0H1H2H3

L0L2L3

L1

图2-4-1 100s 计时部分电路图

en CLK L[3..0]SOUND

H[3..0]

jishiqi

inst1

图2-4-2 100s 计时部分封装电路图

2.4.3 电路图分析:

该部分电路主要是由两个74193计数器组成的模100计数器,其时钟

输入端通过一个与门与EN 端口共同配合,控制计时器计时的开始和复位,H 、L 端口分别显示时间的高位和地位,当计时器显示00时,表示答题时间已到,SOUND 端口输出高电平。其部分波形图如下:

图2-4-3 计时部分波形图

2.5 警示音模块 2.5.1 主要功能

输入信号0 1,只输出小于一个时钟周期的高电平。 2.5.2电路图

VCC in INPUT VCC

clk

INPUT sound

OUTPUT

CLRN D

PRN Q

DFF

inst

XOR

inst1

AND2

inst3

VCC

图2-4-1 警示音部分电路图

in clk

sound

sound

inst3

图2-4-2 警示音部分封装电路图

2.5.3 电路图分析

clk 为脉冲信号输入端,当in 由低电平变为高电平后,在第一个时钟脉冲的上升沿时,sound 端口开始输出一个段半个时钟周期的高电平,其后又变为低电平,以驱动扬声器发出一声警示音。其部分电路图如下:

图2-4-3警示音部分波形图

第3章 总体设计图

3.1 抢答器总设计图

VCC

S[3..0]

INPUT VCC

CLK

INPUT VCC NOP

INPUT

VCC RESET INPUT VCC DNUP INPUT VCC START INPUT VCC CLR INPUT VCC EN INPUT A[7..0]

OUTPUT

B[7..0]OUTPUT C[7..0]OUTPUT

D[7..0]OUTPUT H[3..0]

OUTPUT

L[3..0]OUTPUT SOUND

OUTPUT

XUANSHOU[3..0]

OUTPUT

SHIMIAO[3..0]

OUTPUT

in clk sound sound

inst3

CHOSE[3..0]CLK

REST DNUP A[7..0]

B[7..0]C[7..0]D[7..0]jifenqi

inst

en CLK L[3..0]SOUND

H[3..0]jishiqi

inst1

EN CLK SHIMIAO[3..0]

SOUND SHIMIAOJISHIQI

inst5

AND2

inst6

N O T

i n s t 7

OR3

inst8

EN KCLR START S[3..0]

q[3..0]SOUND JISHI zhukongzhiqi

inst2

图3-1-1抢答器总体设计图

DNUP RESET NOP EN CLR START S[3..0]CLK

D[7..0]C[7..0]B[7..0]A[7..0]

X UANSHOU[3..0]

L[3..0]H[3..0]SOUND

SHIMIAO[3..0]

sirenqiangdaqi inst

图3-1-2抢答器总体设计封装图

3.2 抢答器工作原理

3.2.1 各个端口的含义:

输入输出EN 使能端,高电平有效A、B、C、D 选手得分输出CLR 选手号清零,高电平有效XUANSHOU 选手号输出START 抢答开始,高电平有效H、L 100s倒计时

S[3..0] 选手抢答按钮SOUND 声音输出

CLK 时钟脉冲SHIMIAO 10s倒计时REST 选手分数重置按钮

DNUP 加分、减分选择

NOP 加分、减分脉冲

表3-2-1 各端口的含义

3.2.2 操作流程及相应波形图

首先,比赛开始时,由主持人按下EN键,然后按下CLR键和REST 键,分别使选手号为0和分数显示为50,此时选手按键不起作用。然后主持人按下START键,抢答开始,10s倒计时开始计时,当有选手抢答时,10s倒计时结束,重置为9,当没有选手抢答时,则计时器显示0时,停止,扬声器发出一个警示音。由主持人按下START键,置为9,开始下一轮抢答。当某个选手第一个按下抢答按钮时,100s倒计时器开始计时,当选手在规定时间内答题正确时,主持将DNUP键置0,然后按一下NOP键,给这位选手加一分,若答题错误或超出限定时间,则主持人将DNUP置1,然后按一下NOP,给这位选手减一分。另外,当超出答题时间时,将会输出一个高脉冲,使扬声器发出一个警示音。本轮结束后,由主持人按下START键,开始下一轮比赛。其工作波形图如下:

图3-2-1四号选手抢答加一分波形图

图3-2-2三号选手抢答减一分波形图

图3-2-3无选手抢答波形图

图3-2-4选手答题超时波形图

结论

这次的课程设计,让我受到了很大的挑战,从原来基础薄弱,通过对知识的理解,对器件的仿真论证,使我对一些器件的功能和使用有了很大的认识。

课设时分析了好多电路,设计了很多才选出想要的组合,通过仿真、实验,最终达到了设计的指标,实现了四人抢答,包括抢答部分,答题倒计时,主持人加减分等。由于本抢答器牵涉知识面广,再加上时间的仓促,水平的不足,系统中难免有漏洞和不足之处。例如没能在仿真时加上报警的声音控制,也没能很好的控制每个模块的始终端等。

下一阶段的主要工作:将以现有的结果为基础进一步综合完善,使其性能更好、控制准确度更高,而且进行实物焊接,制作出实物的抢答器元件。

谢辞

通过本次设计,我深刻体会到学术研究的严谨性、规范性,掌握了基本的研究方法,逐渐形成了较强的自主学习能力。

本设计是在刘老师精心指导下完成的。在设计过程中,从选题到查阅资料,论文提纲的确定,中期论文的修改,后期论文格式调整等各个环节都给予了精心指导;提出了许多宝贵意见,多次询问设计进程;付出了大量的时间和精力,在此表达真诚的谢意和衷心的感谢!老师渊博的专业知识,严谨的治学态度,精益求精的工作作风,诲人不倦的高尚师德,严以律己、宽以待人的崇高风范,朴实无华、平易近人的人格魅力对我影响很大,并将积极影响我今后的学习和工作。

本设计的顺利完成,离不开各位老师、同学、朋友的支持、帮助。王静、朱文杰同学在整个设计过程中给予了鼓励和支持,大家多次进行设计难题的讨论与分析,这样为本设计的进一步完善提供了帮助。在此,向老师、同学表示感谢。最后还要感谢学院、系为我们提供了一个良好的学习氛围和人文环境,这对我们以后的学习、工作、生活具有重要影响。

参考文献

①专注

1 欧阳星明.数字逻辑.第四版.华中科技大学出版社欧阳星明2009

2 康光华电子技术基础模拟部分(第五版)高等教育出版 2008

3 邹红文数字逻辑实验指导书.洛阳理工学院出版社邹宏文2011

毕业设计(论文)

毕业论文成绩评定表

专业

班级

姓名

论文

题目

指导教师初审成绩评定

内容

论文

选题

资料

利用

学术

造诣

知识

掌握

科研

能力

论文完

成情况

写作

能力

写作

规范

总成绩成绩评分

标准

10分10分20分20分10分10分10分10分 100分

实际

评分

评阅教师评阅成绩评定

内容

论文

选题

资料

利用

学术

造诣

知识

掌握

科研

能力

论文完

成情况

写作

能力

写作

规范

总成绩评分

标准

10分10分20分20分10分10分10分10分 100分实际

评分

答辩成绩评定

内容

仪态

仪表

语言

答辩

效果

知识

掌握

科研

能力

论文完

成情况

写作

能力

写作

规范

总成绩

评分

标准

10分10分20分20分10分10分10分10分 100分实际

评分

评语指导教师评语

评阅教师评语

答辩小组评语

指导教师:年月日评审教师:年月日答辩负责人:年月日

课程设计四人抢答器实验报告

课程设计四人抢答器实验报告

课题:四人智力抢答器专业: 班级: 学号: 姓名: 指导教师: 设计日期: 成绩: 电气学院

四人智力抢答器设计报告 一、设计目的作用 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计要求 设计一台可供4名选手参加比赛的智力竞赛抢答器。当主持人说开始时,四人开始抢答,电路能判别出四路输入信号中哪一路是最先输入信号,并给出声、光、数码显示。 (1) 4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2) 给主持人设置一个控制按钮,用来控制系统清零和抢答的开始。 (3) 抢答器具有数据锁存的功能。抢答开始后,若有选手按动抢答按钮,该选手指示灯亮并立即锁存,同时扬声器给出音响提示,禁止其它选手抢答。抢答选手的指示灯一直保持到主持人将系统清零为止。 (4)选择B题的除了具有上述功能外,还要在声、光显示的同时,在数码管上显示选手的编号,编号一直保持到主持人将系统清零为止。 三、设计的具体实现 1、系统概述

电路主要由脉冲产生电路,锁存电路,编码及译码显示电路和音响产生电路。当有选手抢答时首先锁存,防止其它选手抢答,然后编码,再经4线7段译码器将数字显示到显示器上同时产生音响,电路结构系统如图: (1)以锁存其为中心的编码显示器 抢答信号的判断和锁存能够采用触发器或锁存器。若以四D触发器74LS175为中心构成编码锁存系统,编码的作用是把锁存器的输出转化为8421BCD码,进而送给7段显示译码器。其真值表为: 锁存器输出编码器输出 Q4 Q3 Q2 Q1 D C B A 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 1 1 0 0 0 0 1 0 0

四路抢答器课程设计报告

四 路 抢 答 器 设 计 实 验 报 告 信息科学技术学院自动化*班 ****

四路抢答器设计实验报告 一、设计任务: 1、巩固和加深对电子电路基本知识的理解,提高综合运用本课程所学知识的能 力。 2、养成根据设计需要选学参考书籍,查阅相关手册、图表和文献资料的自学能力。 3、通过电路方案的分析、论证和比较,设计计算和选取元器件、电路组装、 调试和检测等环节,初步掌握简单实用电路的分析方法和工程设计方法。 4、学会简单电路的实验调试和性能指标的测试方法,提高学生动手能力和进行 数字电子电路实验的基本技能。 二、技术指标 抢答器是一种具有优先输出的电子电路。它的基本功能是,在四组参赛的情况下,首先抢答者发出抢答信号,此时其他参赛组的抢答电路即失去控制作用。在优先抢答者解除抢答信号后,电路才自动恢复到各组又可均等抢答的状态中。 1、设计一个可供4人进行的抢答器。 2、系统设置复位按钮,按动后,重新开始抢答。

3、抢答器开始时数码管无显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。抢答后显示优先抢答者序号,同时发出音响。并且不出现其他抢答者的序号,这样其它选手无法再抢答,达到抢答目的。 4、抢答器具有定时抢答功能,本抢答器的时间设定为10秒,当主持人启动“开始”开关后,定时器开始减计。 5、设定的抢答时间,选手可以抢答,这时定时器开始工作,显示器上显示选手 的和抢答时间。并保持到主持人按复位键。 6、当设定的时间一到,而无人抢答时,本题报废,选手们无法再抢答,同时扬 声器报警发出声音,定时器上显示0。 三、元件清单:

电子产品设计之四路抢答器的设计

《电子产品设计》 设计报告 设计时间: 2010年11月 班级: 09网络工程4班姓名: 报告页数: 7页

广东工业大学课程设计报告 设计题目四路抢答器的设计 学院计算机学院专业 09网络工程班 4班 学号姓名 (合作者____号____)成绩评定_______ 教师签名_______

一、设计任务和要求 1.设计任务 (1)设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”时,无人抢答,蜂鸣器鸣响。选手抢答时,数码显示选手组号,同时蜂鸣器鸣响,倒计时停止。 (2)分组: A负责抢答控制电路和定时电路。 B负责第一信号鉴别电路和核心控制电路。 2.设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时(15秒)抢答的功能。 (4)当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响。参赛选手在设定时间(15秒)内抢答有效,抢答成功,扬声器响,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警,并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 (6)用石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP信号。 二、总体方案选择 本设计电路主要由脉冲产生电路、锁存电路、编码及译码显示电路、倒计时电路和音响产生电路组成。当有选手抢答时,首先锁存,阻止其他选手抢答,然后编码,再经3线8段译码器将数字显示在显示器上同时产生音响。系统原理框图如下: 图1 四人智力竞赛抢答器框图

EDA课程设计—四人抢答器设计

摘要 现代生活中,数字电路产品与我们接触的是越来越平凡了,包括计算机、电子表、智能仪器表及其它很多领域中,它给我们带来的不仅是工作上的方便,而且也给我们的生活娱乐添滋加彩。这次EDA课程设计中,我做的是四人抢答器,基于设计要求,本文主要是从锁存器及计数器功能和VHDL语言着手,但侧重点在用VHDL语言上。首先简单介绍一下数字电路、EDA、VHDL等的有关知识,其次介绍了一下设计要求和我的设计构想,再运用VHDL语言特点,写出程序代码,最后是一些总结和抢答器部分实验电路图与倒计时设计的电路图和用MAX+PLUSII软件仿真的结果部分图附录等部分。 关键词:置位;复位;锁存;计数器;七段显示器;MAX+PLUSII;译码器 目录 摘要: (1) 引言: (2) 一、设计任务及要求: (2) 二、题目分析与整体构思: (2) 三、VHDL程序设计: (3) 四、心得体会及模型评价与推广: (5) 附录: (6) 参考文献: (10)

引言 数字电路主要是基于两个信号(我们可以简单的说是有电压和无电压),用数字信号完成对数字量进行算术运算和逻辑运算的电路我们称之为数字电路,它具有逻辑运算和逻辑处理等功能,数字电路可分为组合逻辑电路和时序逻辑电路。 EDA技术又称电子设计自动化,它是为解决自动控制系统设计而提出的,从70年代经历了计算机辅助设计(CAD),计算机辅助工程(CAE),电子系统设计自动化(ESDA)3个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提出了并行设计环境概念,提供了独立于工艺和厂家的系统级的设计工具。 VHDL(VERY HIGH SPEED INTEGRA TED CIRCUIT HARDW ARE DESCRIPTION LANGUAGE)语言最早是有美国国防部提出的,它支持行为领域和结构领域的硬件描述,并且可以从最抽象的系统级一直到最精确的逻辑级,在描述数字系统时,可以使用前后一致的语义和语法跨越多个层次,并且使用跨越多个级别的混合描述模拟该系统。因此,它可以由高层次行为描述子系统及低层次详细实现子系统所组成的系统模拟。它有两个版本IEEEStd1076-1987[LRM87]和IEEEStd1076-1993[LRM93],他们并不完全兼容,但做一些修改就可以兼容了。 许多公司都为VHDL开发出了编译和仿真软件,其中Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般在数分钟内内完成。特别是在原理图输入等方面。 一、设计任务及要求: 本设计要求做一个四人抢答器,并要求当有某一参赛者首先按下抢答开关时,相应 显示灯亮并报警,此时抢答器不再接受其他输入信号。电路具有回答问题时间控制功能。要求回答问题时间小于等于100s(显示0-99),时间采用倒计时方式。当到达限定时间,发出警告。 二、题目分析与整体构思: 对于一个四人抢答器,四个选手在电路中的起始控制作用是一样的,当裁判员宣布开始抢答时,谁先按下他前面的控制开关,他的灯就会亮,而且这时其他人再怎么按,也就不会亮了,说明每个人对其他人都有一个先发制人的作用,及每个人都在时间控制下,能锁存住其他选手的功能。当有一个指示灯亮了,计数器就开始从99开始倒计时,到0时还要警告声,这样计数器开始工作就是在指示灯的指示下工作。 可以设四个人分别为输入端A,B,C,D;因为四个输入端在VHDL中,要求四个输入端

四人抢答器电路设计

课程设计说明书 题目: 四人抢答器电路设计 课程名称:数字电子技术 ______ 学院:电子信息与电气工程学院 学生姓名:_____________ 裴雷雨 _________ 学号:____________ 20110201011X ______ 专业班级:自动化2011级2班 指导教师:______________ 李立 __________ 2013年6月6日

课程设计任务书

四人抢答器电路设计 摘要:本文介绍设计了一个四人抢答器控制电路,该电路能够实现四人比赛抢答的功能并通过发光二极管和蜂鸣器实现对抢答者的声光报警指示。其中抢答电路用四D触发器74LS175、与非门74LS00和555 定时器实现;报警电路用一个上拉电阻、发光二极管、蜂鸣器等实现抢 答声光指示器;显示电路用74LS74 D触发器、74LS192计数器、74LS47 译码器(驱动器)和七段共阳极数码显示器实现;时钟电路用计数器 74LS192、555 定时器、74LS47 译码器(驱动器)、七段共阳极数码显 示器和双D 触发器74LS47 共同完成十秒倒计时的时钟电路。 关键词:抢答器;声光报警;定时电路;显示电路;时钟电路

目录 1?设计背景 (1) 1.1数字电路系统 (1) 1.2时钟电路的作用及基本构成 (1) 1.3Multisim 软件和DXP 软件.. (1) 2?设计方案 (2) 2.1分析任务 (2) 2.2论证方案 (2) 2.3电路分析.. (2) 3.方案实施... .. . (3) 3.1设计原理图...... (3) 3.2用Multisim 电路仿真.. (10) 3.3制作PCB ...... (12) 3.4安装与调试... . ...... . ... . (12) 4.结果与结论...... .. (12) 5.收获与致谢......... . ............ . (13) 6.参考文献............ . ...... . (13) 7. ............................ 附件 ........................................... .................... .. (14) 7.1电路原理图......... . (14) 7.2PCB 布线图………… ... ………… . ………………………… (15) 7.3实物图........................ .. ...... . (16)

单片机的四路电子抢答器设计

目录 1设计要求与功能 (4) 1.1设计基本要求 (4) 2 硬件设计 (4) 2.1控制系统及所需元件 (4) 2.2抢答器显示模块 (5) 2.3 电源方案的选择 (6) 2.4 抢答器键盘的选择 (6) 2.5蜂鸣器模块 (7) 2.6外部振荡电路 (7) 3 程序设计 (7) 3.1程序流程图 (7) 3.2系统的调试............................................... (9) 3.3 焊接的问题及解决 (10) 4总结 (10) 附录C程序 (11)

一设计要求与功能 1.1设计基本要求 (1)抢答器同时供4名选手或4个代表队比赛使用,分别用4个按钮K1~K4表示。 (2)设置裁判开关k5和清零开关k6,该开关由主持人控制,当主持人按下k6,系统复位,预备抢答,当主持人按下总控制控制开关k5,开始抢答; (3)抢答器具有定时抢答功能,抢答时间为倒计时15秒。当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的提示声响,声响持续的时间0.5秒左右,当计时小于5秒后,每减少一秒,便报警一次以提示选手。 (4)抢答器具有锁存功能,参赛选手在设定的时间内进行抢答,抢答有效,蜂鸣器发声,计时停止,数码管上显示选手的编号和时间,选手相应的信号灯被点亮,其他选手再抢答时无效。 (5)如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答。等待下一轮抢答。 。 二硬件设计 2.1控制系统及所需元件 控制系统主要由单片机应用电路、存储器接口电路、显示接口电路组成。其中单片机STC89C52是系统工作的核心,它主要负责控制各个部分协调工作。 所需元件:该系统的核心器件是 STC89C52。各口功能: P0.0-P0.3 是数码管的位选口; P2.0-P2.7是数码管的段选口,为其传送段选信号; P1.0-P1.3是4组抢答信号的输入口; P1.4、P1.5由裁判控制,分别是抢答开始\复位功能键; P1.6为蜂鸣器的控制口; P3.4-P3.7为选手信号灯输出口; 在其外围接上电复位电路、数码管电路、LED发光二极管、按键电路及扬声器电路。 电子抢答器用单片机来设计制作完成的,由于其功能的实现主要是通过软件

四人抢答器plc课程设计

课程设计说明书 题目名称:四组抢答器plc课程设计 系部:机械工程系 专业班级:机械化13-1班 学生姓名: 学号:2013233 指导教师:全瑞琴 完成日期:2017年1月8号

新疆工程学院 课程设计评定意见 设计题目四组抢答器plc课程设计 系部机械工程系专业班级机械化13-1班学生姓名学生学号2013233 评定意见: 评定成绩: 指导教师(签名):年月日

(此页背书) 评定意见参考提纲: 1、学生完成的工作量与内容是否符合任务书的要求。 2、学生的勤勉态度。 3、设计或说明书的优缺点,包括:学生对理论知识的掌握程度、实践工作能力、表现出的创造性和综合应用能力等。

新疆工程学院 机械工程系系(部)课程设计任务书 2015-2016 学年第一学期2016 年 1 月10日 教研室主任(签名)系(部)主任(签名)

摘要 随着微处理器、计算机和数字通讯技术的飞速发展,计算机控制已扩展到了所有控制领域。而实用抢答器的这一产品是各种竞赛活动中不可缺少的设备,无论是学校、工厂、军队还是益智性电视节目,都会举办各种各样的智力竞赛,都会用到抢答器。目前市场上已有的各种各样的智力竞赛抢答器绝大多数是早期设计的,本设计要求就是利用PLC作为核心部件进行逻辑控制及信号的产生,用PLC本身的优势使竞赛真正达到公正、公平、公开。设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先选择这个题目之后我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路然后仔细分析PLC控制的四路智力抢答器的工作原理以及它的一些工作过程分析后得 出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要9个,输入端口需要6个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点因此我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活维护使用方便等特点。 关键词: 可编程控制器抢答器 PLC 模拟电路数字电路

四路竞赛抢答器设计

四路竞赛抢答器设计与仿真。 一、设计题目:四路竞赛抢答设计与仿真 二、设计要求 设计并仿真能容纳四组参赛队参赛的声光显示抢答器,要求: 1)主持人按下复位后,允许开始抢答, 2)有人抢答成功,即发出光、声报警信号,并封锁其他参赛队抢答信号的输入 主要器件 1)74LS175、74LS04; 2)发光二极管、蜂鸣器、三极管等。

三、题目分析 为达到设计要求可以有两种方案可以选择 方案1:采用CD4511芯片作为抢答信号的触发、锁存和译码输出。这样虽然比较简便,但实际在实现锁存功能时比较繁琐难实现。 方案2:采用集成4D触发器来完成抢答部分。虽然元件较多,但在实现锁存功能时可以简单的实现。 经过对比两方案的优缺点,决定采用抢答信号锁存简单实现的方案2。然后利用软件Multisim来进行仿真调试,再进行逐步改进。智力竞赛是在竞赛中分成几组参加,我设计的为四组,这时针对主持人提出的问题,各组一般进行抢答,对于抢答,需要一种逻辑电路抢答器作为裁判员。先由主持人控制主电路,各组再进行抢答,优先抢答者抢到并回答问题解除抢答信号后,电路才恢复下一次抢答。 以下是我设计的智力竞赛抢答器的主要设计思路:主持人控制开关接地与四组开关接5V 电压,我集成4D触发器74LS175,起到优先抢答的作用。利用当其中一组抢答即对应的开关关闭,对应的锁存输入端为高电平,对应的输出端也为高电平,经过与门,实现锁存功能。这样,当一组抢答时其它组就被屏蔽了。举个例子来说,若一组得到抢答权,则由于接上电压该组输出高电压,又由于锁存器的锁存原因,其它二组,三组,四组输出的为低电平,与一组相连的发光二极管会发光,同时通过或非门与报警电路相连,使之发出连续响声。因为由两个或门与译码器连接,译码器是输入高电平有效,而第一组输出高电平时,对应的LED 亮,说明改组拥有回答问题的权利。当主持人的控制开关复位时,各组的抢答者就开始抢答,当主持人的控制开关清零复位时,开始下一轮抢答。 以上就是我设计的竞赛抢答器的设计思路。

4人抢答器电路设计方案

电工学实验A(下)实验报告 4人竞赛抢答器的设计 学院名称:材料科学与工程 班级:10级4班 姓名:XXX 学号:1810004XX 成绩: 2013 年 1 月 12 日

目录 第一篇实验设计任务介绍 (3) 1.1设计题目 (3) 1.2实验目的 (3) 1.3实验内容 (3) 1.4实验要求 (4) 第二篇设计背景 (5) 第三篇设计原理 (5) 3.1抢答器的主要功能简介 (5) 3.2抢答器工作原理 (7) 3.2.1 74LS175原理介绍 (7) 3.2.2 74LS00原理介绍 (9) 3.2.3.555原理介绍 (11) 第四篇设计电路图介绍 (14) 4.1电路设计图 (14) 4.2.元件清单 (15) 第五篇心得体会 (15)

第一篇实验设计任务介绍 1.1设计题目 数控增益放大器的设计 1.2实验目的 1、掌握面包板搭接电路技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 1.3实验内容 1、设计任务: 设计制作一个可容纳四组参赛的数字式抢答器。 2、设计要求: (1)每组设置一个抢答按钮,供抢答者使用; (2)电路具有第一抢答信号的鉴别和锁存功能; (3)在主持人将系统复位并发出抢答指令后,若有参赛者按抢答开关,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别; (4)同时电路应具备自锁功能,使别组的抢答开关不起作用; (5)要求电路主要选用中规模TTL或CMO S集成电路。 3、设计要点: (1)抢答控制器:竞赛抢答器的核心,当任意一位参赛者按下开关时,抢答控制器立刻接受该信号,则提醒主持人(音频提示或信号灯亮),同时显示出抢答者的组别。 与此同时,封锁其他参赛者的输入信号,这就要求抢答器的分辨能力高(CP

四路抢答器设计

09电子信息工程专业技能训练总结 题目:四路抢答器设计 班级:电子信息工程092班 姓名: 学号: 2012年5月

四路抢答器设计 一、设计要求及方案设计 1.1设计任务和要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由?9?倒计到?0?时,无人抢答,蜂鸣器连续响1秒。选手抢答时,数码显示选手组号,同时蜂鸣器响1秒,倒计时停止。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,同时扬声器给出音响提示,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,扬声器响,音响持续1秒。参赛选手在设定时间(9秒)内抢答有效,抢答成功,扬声器响,音响持续1秒,同时定时器停止倒计时,抢答显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (5)如果抢答定时已到,却没有选手抢答时,本次抢答无效。系统扬声器报警(音响持续1秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。 的脉冲信号,作为 (6)可用石英晶体振荡器或者555定时器产生频率为1H z 定时计数器的CP信号。

4路抢答器数字电路课程设计

课题名称:数显抢答器的设计

数字电子课程设计任务书

目录 1绪论 1.1 摘要 (4) 1.1 设计题目:抢答器电路设计 (4) 1.2 设计任务和要求 (4) 1.3 方案比较 (4) 2系统总体方案及硬件设计 (5) 2.1 系统总体方案 (5) 2.2 硬件设计 (6) 3软件设计 (12) 3.1 单元电路设计 (12) 3.1.1 抢答电路 (12) 3.1.2 定时电路 (14) 3.1.3 报警电路 (15) 3.1.4 时序控制电路 (15) 4课程设计体会 (17) 5参考文献 (18)

摘要 随着我国经济和文化事业的发展,在很多竞争场合要求有快速公正的竞争裁决,例如证券、股票交易及各种智力竞赛等。在现代社会生活中,智力竞赛更是作为一种生动活泼的教育形式和方法能够引起观众极大的兴趣。而在竞赛中往往分为几组参加,这时针对主持人提出的问题,各组一般要进行必答和抢答,对必答一般有时间限制,到时有声响提示;对于抢答,要判定哪组先按键,为了公正,这就要有一种逻辑电路抢答器作为裁判员。一般抢答器由很多门电路组成,线路复杂,可靠性低,特别是抢答路数增多时,实现起来更加困难。本文介绍了一种利用数字电路实现的抢答系统,具有很强的实用性。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并数码管上显示选手的编号,同时扬声器给出声音提示;同时封锁输入电路,禁止其它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。抢答器具有定时抢答的功能,且一次抢答的时间为3秒。当主持人启动“开始”键后,要求定时器立即进行减计时,并用显示器显示通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止;如果定时抢答的时间已到,而没有选手抢答时,本次抢答无效,并封锁输入电路,禁止选手超时后抢答,定时显示器上显示0并闪烁。经过布线、焊接、调试等工作后数字抢答器成形。

(完整版)基于51单片机的4人抢答器课程设计

基于51单片机的4人抢答器设计 设计要求: 以单片机为核心,设计一个4位竞赛抢答器:同时供4名选手或4个代表队比赛,分别用4个按钮S0~S3表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。 当主持人启动“开始”键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间为0.5s左右。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。 如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 工作原理: 通过键盘改变抢答的时间,原理与闹钟时间的设定相同,将定时时间的变量置为全局变量后,通过键盘扫描程序使每按下一次按键,时间加1(超过30时置0)。同时单片机不断进行按键扫描,当参赛选手的按键按下时,用于产生时钟信号的定时计数器停止计数,同时将选手编号(按键号)和抢答时间分别显示在LED上。

#include #define uchar unsigned char #define uint unsigned int uchar num; //定义中断变量,num计满20表示1秒时间到uchar num1; //十秒倒计时显示初始值 uchar flag1,flag2; //清零键及开始键按下标志位 uchar flag3,flag4=0; //定义键盘按下标志位 uchar code table[]={ 0x3f,0x06,0x5b,0x4f, 0x66,0x6d,0x7d,0x07, 0x7f,0x6f}; //数码管编码

基于PLC四路抢答器的设计毕业设计

毕业设计设计任务书 设计题目: 基于PLC四路抢答器的设计 设计要求: 1. 抢答器可同时供四组选手参加比赛 2. 主持人有三个控制按钮,用来控制抢答开始、复位和答题计时的开始。 3.每当主持人发出开始抢答指令后,那组选手最先按下抢答按钮,则数码管1就显示该组的编号,同时绿色指示灯亮,音响电路给出信箱提示信号(持续3S),以指示抢答成功,并对其后的抢答信号不再响应。选手答题完毕后,由主持人按下复位按钮,系统才能开始下一轮抢答。 4.违规抢答:若选手在未开时抢答试题时抢答了,则视为违规,违规时数码管1显示其编号,同时红灯亮,音响电路发出声响。 5.抢答限时:当主持人按下开始按钮后,定时器T0开始计时(设定30S)。若30S时限到仍无人抢答,则黄灯亮、音响电路3发出声响,以示选手放弃该题。 6.答题限时:在抢答成功后,主持人按下答题计时开始按钮,同时数码管2、3上显示答题倒计时时间(该时间设定为50S),选手必须在设定的时间内完成答题。否则,音响电路发出答题超时报警信号 设计进度要求: 第一、二周:确定题目,查阅资料,根据要求分析抢答器的设计、工作原理。 第三、四周:根据工作原理画流程图并编译梯形图,并进行硬件设计。 第五、六周:对软件设计,进行上机调试,找出问题,进行修改,并改进设计。 第七、八周:撰写论文,毕业答辩。 指导教师(签名)

摘要 近年来随着科技的飞速发展, PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新,可编程控制器由于其优良的控制性能,极高的可靠性,在各行各业中的应用日益广泛普及。对于抢答器其广泛用于电视台、商业机构、企事业工会组织、俱乐部及学校等单位组织举办各种知识、技术竞赛及文娱活动时作抢答之用,为竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活,并且给人的视觉效果非常好,是各单位开展素质教育、精神文明、娱乐活动的必备产品。 本次设计是利用PLC(Programmable Logic Controller)对PLC控制的四路智力抢答器进行控制。首先,选择这个题目之后,我对本次设计进行了全面的思考。使自己对本次设计有一个大致的总体思路,然后仔细分析PLC控制的四路智力抢答器的工作原理,以及它的一些工作过程,分析后得出它主要需要完成主持人的控制、选手的抢答、报警、计时及输出显示功能等。考虑到只是PLC控制的四路智力抢答器则输出端口需要25个,输入端口需要7个,由于PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM223作为本次设计的PLC。具有方便灵活,维护使用方便等特点。 关键词:智力控制,四路抢答器, PLC

FPGA四路电子抢答器设计

课程设计报告 专业班级 课程 FPGA/CPLD原理及应用题目四路电子抢答器设计学号 姓名 同组人 成绩 2013年5月

一、设计目的 1.进一步掌握QUARTUSⅡ软件的使用方法; 2.会使用VHDL语言设计小型数字电路系统; 3.掌握应用QUARTUSⅡ软件设计电路的流程; 4.掌握电子抢答器的设计方法。 二、设计要求 1.系统总体设计 (1)设计一个可以容纳四组参赛队进行比赛的电子抢答器。 (2)具有第一抢答信号的鉴别和锁存功能。在主持人发出抢答指令后,若有参赛者按抢答器按钮,则该组指示灯亮,显示器显示出抢答者的组别。同时,电路处于自锁存状态,使其他组的抢答器按钮不起作用。 (3)具有计时功能。在初始状态时,主持人可以设置答题时间的初始值。在主持人对抢答组别进行确认,并给出倒计时记数开始信号以后,抢答者开始回答问题。此时,显示器从初始值开始倒计时,计到0时停止计数,同时扬声器发出超时警报信号。若参赛者在规定的时间内回答完问题,主持人可以给出计时停止信号,以免扬声器鸣叫。 (4)具有计分功能。在初始状态时,主持人可以给每组设置初始分值。每组抢答完毕后,由主持人打分,答对一次加1分,答错一次减1分。 (5)设置一个系统清除开关,该开关由主持人控制。 (6)具有犯规设置电路。超时抢答者,给予鸣喇叭警示,并显示规范组别。 2.设计方案 系统的输入信号有:各组的抢答按钮A、B、C、D,系统允许抢答信号STA,系统清零信号RST,计分时钟信号CLK,加分按钮端ADD、en,减分端SUB、sta,计时使能端en时钟信号clk,复位rst;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口可用a1、b1、c1、d1表示,四个组抢答时的计时数码显示控制信号,抢答成功组别显示的控制信号,各组计分显示的控制信号。整个系统至少有三个主要模块:抢答鉴别模块;抢答计时模块;抢答计分模块,其他功能模块(输出显示模块)。 3.如图为流程图: 开始→抢答→抢答鉴别→回答→加减分数→显示↑↑ 倒计时倒计时 犯规抢答或抢答后答题时间超时鸣喇叭警告。

四人智力抢答器课程设计报告

四人智力抢答器课程设计 报告 Prepared on 22 November 2020

数字电子技术课程设计报告 设计课题: 四人智力竞赛抢答器 学院: 专业: 电子信息工程 班级: 2010级电信(1)班 姓名: 学号: 日期 2012年 12月9日——2012年12月23日指导教师:

摘要 在各种智力竞赛场合,抢答器是必不可少的最公正的用具。 通过本学年的《数字电路技术》的学习我们知道了它的原理其实是比较简单的,主要就是通过四D触发器74LS175为中心构成编码锁存系统控制选手的抢答情况,再通过逻辑电路将输入开关、脉冲及输出LED灯、数码管和扬声器连接起来即可。电路由主体电路和扩展电路两部分组成,主体电路主要由74LS175,即4D触发器来构成抢答锁存器,由主持人来控制74LS175的清零端。当清零端为高电平“1”时,选手开始抢答,最先按键的选手相应的LED发光二极管发光,并且扬声器发出声音,同时,由4个Q及门电路组成的锁存电路来控制其他选手再按键时不再起作用。扩展电路主要包括秒脉冲发生电路和定时电路,并且在设计中加入了报警电路,以提示选手和观众。 经Proteus仿真软件验证抢答器原理图无误,可实现设计所要求功能。 关键词:四人智力竞赛抢答器、74LS175、脉冲、锁存器 目录 1 设计任务及要求 (1) 2 比较和选定设计的系统方案、画出系统框图 (1) 方案比较 (1) 系统框图 (3)

3单元电路设计、参数计算和器件选择 (3) 抢答电路设 (3) 定时电路设计 (6) 报警电路设计 (9) 4完整的电路图及电路的工作原理 (10) 完整电路图 (10) 工作原理..............................................................................11 5经验体会. (12) 参考文献 (12) 附录A:系统电路原理图 (13) 附录B:元器件清单 (14)

单片机四路抢答器课程设计

课程设计(论文) 题目名称简易四路抢答器设计 课程名称单片机原理及应用 学生姓名瞿永 学号0841229144 系、专业电气工程系测控类 指导教师杨波 2010年7 月1 日

邵阳学院课程设计(论文)评阅表 学生姓名瞿永学号0841229144 系别电气工程系专业班级08电本二班题目名称简易四路抢答器课程名称单片机原理及应用 二、指导教师评定

目录 摘要 (4) 一,设计任务与要求 (4) 二,方案设计与论证 (4) 三,硬件电路设计 (5) 四,软件设计 (8) 五,器件选型方案 (21) 六,调试: (22) 七,结论与心得 (22) 八,参考文献 (23)

单片机四路抢答器设计 摘要 抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低。作为一个单位,如果专门购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏,再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 本设计是以四路抢答为基本理念。考虑到依需设定限时回答的功能,利用AT49C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间。用开关做键盘输出,扬声器发生提示。同时系统能够实现:在抢答中,只有开始后抢答才有效,如果在开始抢答前抢答为无效;抢答限定时间和回答问题的时间可在1-99s设定;可以显示是哪位选手有效抢答和无效抢答,正确按键后有音乐提示;抢答时间和回答问题时间倒记时显示,满时后系统计时自动复位及主控强制复位;按键锁定,在有效状态下,按键无效非法。 一,设计任务与要求 1、抢答器同时供4名选手或4个代表队比赛,分别用4个按钮S0 ~ S3表示。 2、设置一个系统清除和抢答控制开关S,该开关由主持人控制。 3、抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。 4、参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号并保持到主持人将系统清除为止。 二,方案设计与论证

四路抢答器的设计

江汉大学物理与信息工程学院 课程设计报告 课题名称:四路抢答器的设计 (英文) : The Design of Four- routes Priority-answer Set 专业:电子信息工程(光电信息工程) 班级: 学号: 学生姓名: 指导教师: 2008年9 月26 日

四路抢答器的设计 一、设计任务及要求 1、设计一个四路抢答器(有线控制)用通用板实现,当四组参赛者之一抢先按下开关时,抢答器能准确的判断出是哪一组抢答成功,指示灯显示抢答组别,扬声器发出声音。 2、抢答器具备鉴别第一个信号和锁存能,在主持人复位开始抢答后,将第一个抢答信号锁存,阻止其他信号输入,复位后开始新一轮抢答。 二、设计框图及整机概述 整机设计框图如图1所示(Windows画图工具),参赛者按钮是四路单刀双掷开关,选择前接低电平;主电路由4个D触发器组成,D脚接开关,Q脚接输出;由TTL与非门形成特定电平控制边沿触发器的CP,使触发器暂时封锁;抢答成功通过发光二极管显示,同时蜂鸣器发出声音提示。设计抢答器的关键是主电路和CP脉冲产生电路的方案确定,将在下面详细说明。 图1 四路抢答器设计框图

三、单元电路的设计方案及原理说明 1、主电路的设计方案 方案一:可以由编码器构成抢答部分,编码器有优先选择的特点,也可以达到抢答的效果,但它有不准确的缺点,如果两人同时抢答的话,那么,那么优先级比较高的选项会抢得机会。而且编码后的结果为二进制编码,需经过一译码器才能显示,电路的可用性不高。 方案二:用边沿触发器实现抢答部分,可以由是否产生脉冲来封锁触发器。当有人按下抢答按键时(即Di=“1”),n i Q 和n i Q 有改变,n i Q 的状态与i D 的 输入直接相关,再与脉冲经过若干与非门后,便可封锁后来的按键。而且它比方案一更准确(能最大可能的区分抢答先后),而且可以直接由n i Q 与外部显示相连,这种方案既方便又准确,而且电路比较简单易于实现。 比较两套方案后,选择方案二。电路原理图如图2所示(protel99 SE )。 图2 主电路原理图 2、脉冲产生电路的设计方案 方案一:由与非门实现脉冲振荡,这种电路的频率范围由RC 的变化确定,这种电路虽然简单,但起振频率范围有限,电路只会在一定的范围内产生振荡。 方案二:由555定时器和外接元件R1、R2、C 构成多谐振荡器,电路只有两

四人抢答器课程设计报告_选定

本科课程设计专用封面 设计题目: 四人抢答器 所修课程名称: 电子技术基础数字部分 修课程时间: 2012 年 9 月 26日至 12月 27日 完成设计日期: 2012 年 12月 27 日 评阅成绩: 评阅意见: 评阅教师签名: 年 月 日 ____工____学院__2010__级__电气工程及其自动化__专业 姓名_______ 学号________________ ………………………………(装)………………………………(订)………………………………(线)………………………………

四人智力竞赛抢答器 一、设计题目 四人智力竞赛抢答器 二、设计任务与要求 1)设计任务 设计一台可供4名选手参加比赛的智力竞赛抢答器。用数字显示抢答倒计时间,由“9”倒计到“0”。选手抢答时,数码显示选手组号,倒计时停止,此时抢答按键无效,数码管显示数字不能改变;倒计时完成之后抢答按键被锁住,按键无效。 2)设计要求 (1)4名选手编号为:1,2,3,4。各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。 (2)给主持人设置一个控制按钮,用来控制系统清零(抢答显示数码管灭灯)和抢答的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,该选手编号立即锁存,并在抢答显示器上显示该编号,封锁输入编码电路,禁止其他选手抢答。抢答选手的编号一直保持到主持人将系统清零为止。 (4)抢答器具有定时(9秒)抢答的功能。当主持人按下开始按钮后,定时器开始倒计时,定时显示器显示倒计时间,若无人抢答,倒计时结束时,抢答按键被锁住,直到主持人恢复倒数数据。参赛选手在设定时间(9秒)内抢答有效,抢答成功,定

四人抢答器课程设计报告

《数字电子技术基础》课程设计任务书 专业:电气工程及其自动化班级:13电气专升本 学号:130732002 姓名:陈云飞 指导教师:耿素军 二零一三年十一月二十六日

四路数电抢答器 一、课程设计(论文)要求及原始数据(资料): 1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 3)设计定时电路,声、光报警或音乐片驱动电路。 4)设计控制逻辑电路,启动、复位电路。 5)设计计分电路,犯规电路。 6)安装自己设计的电路和仿真。 7)写出设计报告。 二、课程设计进度: 二、主要参考文献 【1】阎石《数字电子电路》. 北京:高等教育出版社. 2007 【2】康华光. 《电子技术基础》(数字部分). 北京:高等教育出版社. 2000 【3】何小艇《电子系统设计》浙江大学出版社,2000 【4】董诗白《数字电子技术》高等教育出版社,2001 【5】吕思忠《数字电路实验与课程设计》,2001

专业班级 13电气专升本学生陈云飞李安瑞王菲安浩然王克强 课程设计(论文) 工作截至日期 2013-12-10 课程设计评语 课程设计成绩;

目录 1课程设计目的 (1) 2设计指标 (1) 3 总体框题设计 (1) 4方案设计 (2) 5总电路图设计 (8) 6使用元件 (9) 7总结 (10) 8 参考文献 (11)

一、课程设计目的 1.掌握四人智力竞赛抢答器电路的设计、组装与调试方法。 2.熟悉数字集成电路的设计和使用方法。 二、设计指标 (1)设计制作一个容纳4组参赛队的数字式抢答器,每组设置一抢答按钮供抢答者使用。 (2)设计抢答者的输入抢答锁定电路、抢答者序号编码、译码和显示电路。 (3)设计定时电路,声、光报警或音乐片驱动电路。 (4)设计控制逻辑电路,启动、复位电路。 (5)设计计分电路,犯规电路。 (6)安装自己设计的电路和仿真。 (7)写出设计报告。 三、总体框图设计 当主持人宣布开始,定时电路开始秒脉冲电路的作用而进行倒记时,并通过译码器在显示器中显示。报警电路给出声音提示。当选手首先按某一开关键时,可通过触发锁存电路被触发并锁存,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。然后在译码器中译码,将触发器输出的数据转换为数码管需要的逻辑状态。最后在显示电路中显示出所按键选手的号码。若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。如图1.

相关主题
文本预览
相关文档 最新文档