当前位置:文档之家› 计算机组成原理课程设计

计算机组成原理课程设计

《计算机组成原理》大作业报告

题目名称:交通灯控制系统设计

学院(部):计算机学院

专业:计算机科学与技术

学生姓名:

班级

学号

最终评定成绩:

湖南工业大计算机学院

目录

摘要 (4)

1. 引言 (5)

2. 总体设计方案 (6)

2.1. 设计思路 (6)

2.1.1. 设计目的 (6)

2.1.2. 设计任务和内容 (6)

2.1.3. 方案比较、设计与论证 (7)

2.1.4. 芯片简介 (10)

2.2. 设计方框图 (13)

3. 设计原理分析 (14)

3.1. 交通灯显示时序的理论分析与计算 (14)

3.2. 交通灯显示时间的理论分析与计算 (16)

3.3. 电路模块 (16)

3.3.1. (16)

3.3.2. LED红绿灯显示模块 (19)

3.3.3. 复位电路 (21)

3.3.4. 晶振电路 (22)

4. 结束语 (23)

5. 参考文献 (24)

6. 附录 (24)

6.1. 附录1:程序清单 (24)

摘要

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,单片机的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的单片机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。

本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。

本设计系统由单片机I/O口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。

软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。

1. 引言

当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在19世纪就已出现了。

1858年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止”,绿色表示“注意”。1869年1月2日,煤气灯爆炸,使警察受伤,遂被取消。

电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止”,绿灯亮表示“通行”。

19xx年,又出现了带控制的红绿灯和红外线红绿灯。带控制的 4

红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。

信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停车线后停车。黄灯是警告信号,面对黄灯的车辆不能越过停车线,但车辆已十分接近停车线而不能安全停车时可以进入交叉路口。

2. 总体设计方案

2.1. 设计思路

2.1.1. 设计目的

(1)加强对单片机和汇编语言的认识,充分掌握和理解设计各部分

的工作原理、设计过程、选择芯片器件、模块化编程等多项知识。

(2)用单片机模拟实现具体应用,使个人设计能够真正使用。

(3)把理论知识与实践相结合,充分发挥个人能力,并在实践中锻炼。

(4)提高利用已学知识分析和解决问题的能力。

(5)提高实践动手能力。

2.1.2. 设计任务和内容

2.1.2.1. 设计任务

单片机采用用AT89S52芯片,使用发光二极管(红,黄,绿)代表各个路口的交通灯,用8段数码管对转换时间进行倒时(东西路口15秒,南北路口25秒,黄灯时间5秒)。

2.1.2.2. 设计内容

(1)设计并绘制硬件电路图

(2)制作PCB并焊接好元器件

(3)编写程序并将调试好的程序固化到单片机中

2.1.

3. 方案比较、设计与论证

2.1.

3.1. 电源提供方案

为使模块稳定工作,须有可靠电源,采用单片机控制模块提供电源。此方案的优点是系统简明扼要,节约成本;缺点是输出功率不高。

2.1.

3.2. 复位方案

复位方式有两种:按键复位与软件复位。由考虑到程序的简洁,避免冗长,本设计采用按键复位,在芯片的复位端口外接复位电路,通过按键对单片机输入一个高电平脉冲,达到复位的目的。

2.1.

3.3. 输入方案

方案一:

采用89S52扩展I/O 口及键盘,显示等。该方案的优点是:使用灵活可编程,并且有RAM,及计数器。若用该方案,可提供较多I/O 口,但操作起来稍显复杂。

方案二:

直接在IO口线上接上按键开关。因为设计时精简和优化了电路,所以剩余的口资源还比较多,我们使用2个按键,分别是K1、K2。由于该系统对于交通灯及数码管的控制,只用单片机本身的I/O 口就可实现,且本身的计数器及RAM 已经够用,故选择方案二

2.1.

3.

4. 显示界面方案

该系统要求完成倒计时、状态灯等功能。基于上述原因,我们考虑了三种方案:

方案一:

完全采用数码管显示。这种方案只显示有限的符号和数码字符,无法胜任题目要求。

方案二:

完全采用点阵式LED 显示。这种方案实现复杂,且须完成大量的软件工作;

但功能强大,可方便的显示各种英文字符,汉字,图形等。

方案三:

采用数码管与点阵LED (点阵式和8段式LED)相结合的方法因为设计既要求倒计时数字输出,又要求有状态灯输出等,为方便观看并考虑到现实情况,用数码管与LED灯分别显示时间与提示信息。这种方案既满足系统功能要求,又减少了系统实现的复杂度。权衡利弊,第三种方案可互补一二方案的优缺,我们决定采用方案三以实现系统的显示功能。

设计方框图

整个设计以AT89S52单片机为核心,由数码管显示,LED数码管显示,复位电路组成。硬件模块入图2-1。AT89S52单片机LED数码管显示复位电路

2.1.

3.5. 交通管理的方案论证

东西、南北两干道交于一个十字路口,各干道有一组红、黄、绿三色的指示灯,指挥车辆和行人安全通行。红灯亮禁止通行,绿灯亮允许通行。黄灯亮提示人们注意红、绿灯的状态即将切换,且黄灯燃亮时间为东西、南北两干道的公共停车时间。指示灯燃亮的方案如表2。

表2说明:

(1)当东西方向为红灯,此道车辆禁止通行,东西道行人可通

过;南北道为绿灯,此道车辆通过,行人禁止通行。时间为25秒。

(2)黄灯5秒,警示车辆和行人红、绿灯的状态即将切换。

(3)当东西方向为绿灯,此道车辆通行;南北方向为红灯,南

北道车辆禁止通过,行人通行。时间为25秒。

(4)这样如上表的时间和红、绿、黄出现的顺序依次出现这样

行人和车辆就能安全畅通的通行。

2.1.4. 芯片简介

1.AT89S52单片机简介

其引DIP封装的脚图如下:

主要性能

与MCS-51单片机产品兼容、8K字节在系统可编程Flash存储器、 1000次擦写周期、全静态操作:0Hz~33Hz 、三级加密程序存储器、 32个可编程I/O口线、三个16位定时器/计数器八个中断源、全双工UART串行通道、低功耗空闲和掉电模式、掉电后中断可唤醒、看门狗定时器、双数据指针、掉电标识符。

功能特性描述

At89s52 是一种低功耗、高性能CMOS8位微控制器,具有 8K 在系统可编程Flash 存储器。使用Atmel 公司高密度非易失性存储器技术制造,与工业80C51 产品指令和引脚完全兼容。片上Flash允许程序存储器在系统可编程,亦适于常规编程器。在单芯片上,拥有灵巧的8 位CPU 和在系统可编程Flash,使得AT89S52为众多嵌入式控制应用系统提供高灵活、超有效的解决方案。 AT89S52具有以下标准功能: 8k字节Flash,256字节RAM, 32 位I/O 口线,看门狗定时器,2 个数据指针,三个16 位定时器/计数器,一个6向量2级中断结构,

全双工串行口,片内晶振及时钟电路。另外,AT89S52 可降至0Hz 静态逻辑操作,支持2种软件可选择节电模式。空闲模式下,CPU 停止工作,允许RAM、定时器/计数器、串口、中断继续工作。掉电保护方式下,RAM内容被保存,振荡器被冻结,单片机一切工作停止,直到下一个中断或硬件复位为止。8 位微控制器 8K 字节在系统可编程 Flash AT89S52

P0 口:P0口是一个8位漏极开路的双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。当访问外部程序和数据存储器时,P0口也被作为低8位地址/数据复用。在这种模式下,P0具有内部上拉电阻。在flash编程时,P0口也用来接收指令字节;在程序校验时,输出指令字节。程序校验时,需要外部上拉电阻。

P1 口:P1 口是一个具有内部上拉电阻的8 位双向I/O 口,p1 输出缓冲器能驱动4 个TTL 逻辑电平。对P1 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。此外,P1.0和P1.2分别作定时器/计数器2的外部计数输入(P1.0/T2)和时器/计数器2的触发输入(P1.1/T2EX),具体如下表所示。在flash编程和校验时,P1口接收低8位地址字节。引脚号第二功能P1.0 T2(定时器/计数器T2的外部计数输入),时钟输出 P1.1 T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)

P1.5 MOSI(在系统编程用)

P1.6 MISO(在系统编程用)

P1.7 SCK(在系统编程用)

P2 口:P2 口是一个具有内部上拉电阻的8 位双向I/O 口,P2 输出缓冲器能驱动4 个TTL 逻辑电平。对P2 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。在访问外部程序存储器或用16位地址读取外部数据存储器(例如执行MOVX @DPTR)时,P2 口送出高八位地址。在这种应用中,P2 口使用很强的内部上拉发送1。在使用8位地址(如MOVX @RI)访问外部数据存储器时,P2口输出P2锁存器的内容。在flash编程和校验时,P2口也接收高8位地址字节和一些控制信号。

P3 口:P3 口是一个具有内部上拉电阻的8 位双向I/O 口,p2 输出缓冲器能驱动4 个TTL 逻辑电平。对P3 端口写“1”时,内部上拉电阻把端口拉高,此时可以作为输入口使用。作为输入使用时,被外部拉低的引脚由于内部电阻的原因,将输出电流(IIL)。

P3口亦作为AT89S52特殊功能(第二功能)使用,如下表所示。

在flash编程和校验时,P3口也接收一些控制信号。端口引脚第二功能P3.0 RXD(串行输入口)

P3.1 TXD(串行输出口)

P3.2 INTO(外中断0)

P3.3 INT1(外中断1)

P3.4 TO(定时/计数器0)

P3.5 T1(定时/计数器1)

P3.6 WR(外部数据存储器写选通)

P3.7 RD(外部数据存储器读选通)

此外,P3口还接收一些用于FLASH闪存编程和程序校验的控制信号。

RST——复位输入。当振荡器工作时,RST引脚出现两个机器周期以上高电平将是单片机复位。

ALE/PROG——当访问外部程存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。一般情况下,ALE仍以时钟振荡频率的1/6输出固定的脉冲信号,因此它可对外输出时钟或用于定时目的。要注意的是:每当访问外部数据存储器时将跳过一个ALE脉冲。对FLASH存储器编程期间,该引脚还用于输入编程脉冲(PROG)。如有必要,可通过对特殊功能寄存器(SFR)区中的8EH单元的D0位置位,可禁止ALE操作。该位置位后,只有一条MOVX和MOVC指令才能将ALE激活。此外,该引脚会被微弱拉高,单片机执行外部程序时,应设置ALE禁止位无效。

PSEN——程序储存允许(PSEN)输出是外部程序存储器的读选通信号,当AT89C52由外部程序存储器取指令(或数据)时,每个机器周期两次PSEN有效,即输出两个脉冲,在此期间,当访问外部数据存储器,将跳过两次PSEN信号。

EA/VPP——外部访问允许,欲使CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端必须保持低电平(接地)。需注意的是:如果加密位LB1被编程,复位时内部会锁存EA端状态。如EA端为高电平(接Vcc端),CPU则执行内部程序存储器的指令。FLASH存储器编程时,该引脚加上+12V的编程允许电源Vpp,当然这必须是该器件是使用12V编程电压Vpp。

2.2. 设计方框图

3. 设计原理分析

3.1. 交通灯显示时序的理论分析与计算

对于一个交通路口来说,能在最短的时间内达到最大的车流量,就算是达到了最佳的性能,我们称在单位时间内多能达到的最大车流为车流量,用公式:车流量= 车流 / 时间来表示。

先设定一些标号如图2-1 所示。

说明:

此图为直方图,上边为北路口灯,右边为东路口灯,下边为南路口灯,左边为西路口灯。

图2-2 所示为一种红绿灯规则的状态图,分别设定为S1、S2、S3、S4,交通灯以这四的状态为一个周期,循环执行(见图2-3)。

图2-1

请注意图2-1b和图2-1d,它们在一个时间段中四个方向都可以通车,这种状态能在一定的时间内达到较大的车流量,效率特别高。依据上述的车辆行驶的状态图,可以列出各个路口灯的逻辑表,由于相向的灯的状态图是一样的,

所以只需写出相邻路口的灯的逻辑表;根据图2-3 可以看出,相邻路口的灯它们的状态在相位上相差180°。因此最终只需写出一组S1、S2、S3、S4的逻辑状态表。如表2-1 所示。

表2-1

表中的“×”代表是红灯亮(也代表逻辑上的0),“√”是代表绿灯亮(也代表逻辑上的1),依上表,就可以向相应的端口送逻辑值。

3.2. 交通灯显示时间的理论分析与计算

东西和南北方向的放行时间的长短是依据路口的各个方向平时的车流量来设定,并且S1、S2、S3、S4各个状态保持的时间之有严格的对应关系,其公式如下示。

T-S1+T-S2=T-S3

T-S2=T-S4

T-S1=T-S3

我们可以依据上述的标准来改变车辆的放行时间。按照一般的规则,一个十字路口可分为主干道和次干道,主干道的放行时间大于次干道的放行时间,我们设定值时也应以此为参考

3.3. 电路模块

3.3.1.

(1) LED数码管显示模块静态显示方式:静态显示方式是指当显示器显示某一字符时,

发光二极管的位选始终被选中。在这种显示方式下,每一个LED数码管显示器都需要一个8位的输出口进行控制。由于单片机本身提供的I/O口有限,实际使用中,通常通过扩展I/O口的形式解决输出口数量不足的问题。

静态显示主要的优点是显示稳定,在发光二极管导通电流一定的情况下显示器的亮度大,系统运行过程中,在需要更新显示内容时,CPU才去执行显示更新子程序,这样既节约了CPU的时间,又提高了CPU的工作效率。其不足之处是占用硬件资源较多,每个LED数码管需要独占8条输出线。随着显示器位数的增加,需要的I/O口线也将增加。

(2)动态显示方式:动态显示方式是指一位一位地轮流点亮每位显示器(称为扫描),即每个数码管的位选被轮流选中,多个 19

数码管公用一组段选,段选数据仅对位选选中的数码管有效。对于每一位显示器来说,每隔一段时间点亮一次。显示器的亮度既与导通电流有关,也与点亮时间和间隔时间的比例有关。通过调整电流和时间参数,可以既保证亮度,又保

证显示。若显示器的位数不大于8位,则显示器的公共端只需一个8位I/O口进行动态扫描(称为扫描口),控制每位显示器所显示的字形也需一个8位口(称为段码输出)。

数码管显示子程序:

DISP: MOV A,R2

MOV B,#10

DIV AB

MOV 60H,A

20

MOV 61H,B

MOV A,R3

MOV B,#10

DIV AB

MOV 62H,A

MOV 63H,B

MOV 40H,#04H

MOV R5,#0FEH

MOV R0,#60H

LLP: MOV A,@R0

MOV DPTR,#TABLE

MOVC A,@A+DPTR

MOV P0,A

MOV A,R5

MOV P2,A

LCALL DELAY1

MOV P2, #0FFH ;残影

RL A

MOV R5,A

INC R0

DJNZ 40H,LLP

令显示器熄灭,以免产生21

RET

DELAY1: ;延时子程序

MOV R4,#12

DL2: MOV R7,#12

DJNZ R7,$

DJNZ R4,DL2

RET

TABLE:DB 3FH,24H,5dH,75H,66H DB 73H,7bH,25H,7fH,77H

END

3.3.2. LED红绿灯显示模块

本实验有四种状态:

状态1,东西绿灯亮,南北红灯亮,此时P1.0口—P1.7口的高低电平为#0BBH。

子程序:

ST1: MOV P1,#0EBH ;第一个状态,主干道亮绿灯、支干道亮红灯;

CJNE R1,#0FFH,TZ1

MOV R1,#00H

DEC 20H

DEC 23H

22

TZ1: MOV R2,20H

MOV R3,23H

LCALL DISP ;调用显示子程序。MOV A,20H

CJNE A,#00H,ST1

MOV 20H,#25

状态2,东西黄灯亮,南北红灯亮,此时P1.0口—P1.7口的高低电平为#0BDH。子程序:

ST2: MOV P1,#0EDH ;第二个状态,主干道亮黄灯、支干道亮红灯;

CJNE R1,#0FFH,TZ2

MOV R1,#00H

DEC 21H

DEC 23H

TZ2: MOV R2,21H

MOV R3,23H

LCALL DISP ;调用显示子程序。MOV A,21H

CJNE A,#00H,ST2

MOV 21H,#05

状态3,东西红灯亮,南北绿灯亮,此时P1.0口—P1.7口的高低电 23平为#0DEH。子程序:

ST3: MOV P1,#0BEH ;第三个状态,主干道亮红灯、支干道亮绿灯;

CJNE R1,#0FFH,TZ3

MOV R1,#00H

DEC 24H

DEC 22H

TZ3: MOV R2,24H

MOV R3,22H

LCALL DISP ;调用显示子程序。MOV A,22H

CJNE A,#00H,ST3

MOV 22H,#15

状态4,东西红灯亮,南北黄灯亮,此时P1.0口—P1.7口的高低电平为#0EEH。再采用显示子程序与延时子程序可使LED显示灯按照要求点亮。子程序:

ST4: MOV P1,#0DEH ;第四个状态,主干道亮红灯、支干道亮黄灯;

CJNE R1,#0FFH,TZ4

MOV R1,#00H

24

DEC 24H

《计算机组成原理》练习题

《微机组成原理》练习题 第一章计算机系统概论 一、选择题 1、冯.诺依曼机工作方式的基本特点是() A.多指令流单数据流B.按地址访问并顺序执行指令 C.堆栈操作D.存储器按内容选择地址 2、电子计算机的算术/逻辑单元、控制单元及主存储器合称为() A、CPU B、ALU C、主机 D、CU 3、完整的计算机系统应包括() A、运算器、存储器、控制器 B、外部设备和主机 C、主机和实用程序 D、配套的硬件设备和软件系统 4、计算机系统中的存储系统是指() A、RAM存储器 B、ROM存储器 C、主存 D、主存和辅存 5、用以指定待执行指令所在地址的是() A、指令寄存器 B、数据计数器 C、程序计数器 D、累加器 6、微型计算机的发展以()技术为标志。 A.操作系统B.微处理器C.磁盘D.软件 7、存储单元是指() A.存放在一个字节的所有存储元集合B.存放一个存储字的所有存储元集合 C.存放一个二进制信息位的存储元集合D.存放一条指令的存储元集合 8、存储字长是指() A.存放在一个存储单元中的二进制代码组合B.存放在一个存储单元中的二进制代码位数C.存储单元的个数D.机器指令的位数 9、存放欲执行指令的寄存器是() A.MAR B.PC C.MDR D.IR 10、在CPU中跟踪指令后继地址的寄存器是() A.MAR B.PC C.MDR D.IR 二、填空题 1、()和()都存放在存储器中,()能自动识别它们。 2、存储器可分为主存和(),程序必须存于()内,CPU才能执行其中的指令。 3、存储器的容量可以用KB、MB、GB表示,它们分别代表()、()、()。 4、计算机硬件的主要技术指标包括()、()、()。 5、在用户编程所用的各种语言中,与计算机本身最为密切的语言是()。 6、汇编语言是一种面向()的语言,对()依赖性强,用汇编语言编制的程序执行速度比高级 语言()。 7、有些计算机将一部分软件永恒地存于只读存储器中,称为()。 8、基于()原理的()计算机工作方式的基本特点是按地址访问并顺序执行指令。 三、简答题 1、冯.诺依曼计算机体系结构的基本思想是什么?按此思想设计的计算机硬件系统由哪些部件组成?

计算机组成原理

计算机组成原理大型实验 报告 (2010/2011第2学期------第19周) 指导教师: 班级: 姓名: 学号: 计算机组成原理课程设计实验报告 一、目的和要求 目的: 深入了解计算机各种指令的执行过程,以及控制器的组成,指令系统微程序设计的具体知识,进一步理解和掌握动态微程序设计的概念;完成微程序控制的特定功能计算机的指令系统设计和调试。 要求: (1)、内容自行设计相关指令微程序;(务必利用非上机时间设计好微程序) (2)、测试程序、实验数据并上机调试; (3)、报告内容: 包括 1、设计目的 2、设计内容 3、微程序设计(含指令格式、功能、设计及微程序) 4、实验数据(测试所设计指令的程序及结果)。(具体要求安最新规范为准) 二、实验环境 TEC—2机与PC机。 三、具体内容 实验内容: (1)把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] (2)将一通用寄存器内容减去某内存单元内容,结果放在另一寄存器中。 指令格式:E0DRSR,ADDR(SR,DR源、目的寄存器各4位)双字指令(控存 入口130H) 功能:DR=SR-[ADDR]

(3)转移指令。判断两个通用寄存器内容是否相等,若相等则转移到指定绝对地址,否则顺序执行。 指令格式:E5DRSR,ADDR双字指令(控存入口140H) 功能:ifDR==SRgotoADDRelse顺序执行。 设计:利用指令的CND字段,即IR10~8,令IR10~8=101,即CC=Z 则当DR==SR时Z=1,微程序不跳转,接着执行MEMPC(即ADDRPC),而当DR!=SR 时Z=0,微程序跳转至A4。 实验设计并分析: 第一条:把用绝对地址表示的内存单元A中的内容与内存单元B中的内容相加,结果存于内存单元C中。 指令格式:D4××,ADDR1,ADDR2,ADDR3四字指令(控存入口100H) 功能:[ADDR3]=[ADDR1]+[ADDR2] 指令格式: D4XX ADDR1 ADDR2 ADDR3 微程序: PC→AR,PC+1→PC:00000E00A0B55402 MEM→AR:00000E00 10F00002 MEM→Q:00000E00 00F00000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E00 10F0 0002 MEM+Q→Q:00000E01 00E0 0000 PC→AR,PC+1→PC:00000E00 A0B5 5402 MEM→AR:00000E0010F0 0002 Q→MEM,CC#=0:00290300 10200010 指令分析: PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM->Q 0000 0000 1110 0000 0000 0000 0000 1111 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100 MEM+Q->Q 0000 0000 1110 0000 0001 0000 0000 1110 0000 0000 0000 0000 0000 PC->AR,PC+1->PC 0000 0000 1110 0000 0000 1010 0000 1011 0101 0101 0100 0000 0010 MEM->AR 0000 0000 1110 0000 0000 0001 0000 1111 0000 0000 0000 0000 0100

计算机组成原理实验-实验二

实验报告 课程名称计算机组成原理部件实验 实验项目实验二运算器组成实验 系别___ _计算机学院 _ ______ 专业___ 计算机科学与技术 ___ 班级/学号___计科1601/55___ 学生姓名 ______罗坤__ ________ 实验日期_(2018年4月12日) 成绩_______________________ 指导教师吴燕

实验二运算器组成实验一.实验目的 (1)掌握算术,逻辑运算单元的工作原理。 (2)熟悉多通用寄存器结构的简单运存器。 (3)进一步熟悉运算器的结构传送通路及控制方法。(4)按给定的各种操作流程完成运算。 二.实验电路

三.试验设备 数据通路板(B板)、控制信号板(A板)各一块。 四.实验数据 R0 ○OH→R0 SW=OH SW-BUS Ys1Ys0=11 LDR0,T4 R1 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○(DR1)+1→R1 000001 ALU YS1YS0=11 LDR1,T4 YS1YS0=00 R1-BUS R2 ○**H→R2 SW=**H SW-BUS YS1YS0=11 LDR2,T4 ○(R2)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2非)→R2 010110 ALU YS1YS0=11

YS1YS0=00 R2-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR2) →R0 YS1YS0=00 LDR0,T4 YS1YS0=00 R0-BUS R1,R0 ○**H→R1 SW=**H SW-BUS Ys1Ys0=11 LDR1,T4 ○(R1)→DR1 YS1YS0=00 R1-BUS LDDR1,T4 ○**H→R0 SW=**H SW-BUS Ys1Ys0=11 LDR0,T4 ○(R0)→DR2 YS1YS0=00 R2-BUS LDDR2,T4 ○(DR1)-(DR2)→R0 011001 ALU YS1YS0=11 LDR2,T4 YS1YS0=00

《计算机组成原理》练习题

《计算机组成原理》练习题 第一章概论 一、选择题 01. 电子计算机主存内的ROM是指。 A.不能改变其内的数据 B.只能读出数据,不能写入数据 C.通常用来存储系统程序 D.以上都是 02. 有些计算机将一部分软件永恒地存于只读存储器中,称之为。 A.硬件 B.软件 C. 固件 D.辅助存储 03. 如果要处理速度、温度、电压等连续性数据可以使用。 A.数字计算机 B.模拟计算机 C.混合计算机 D.特殊用途计算机 04. 邮局把信件进行自动分拣,使用的计算机技术是。 A.机器翻译 B.自然语言理解 C.模式识别 D.过程控制 05. 冯.诺伊曼机工作方式的基本特点是。 A.多指令流单数据流 B.按地址访问并顺序执行指令 C.堆栈操作 D.存储器按内容选择地址。 06. 某寄存器中的值可能是操作数,也可能是地址,只有计算机的才能识别它。 A.译码器 B.判断程序 C.指令 D.时序信号。 07. 80年代以来,许多国家开始研究第五代计算机,这种计算机系统是。 A.超高速巨型计算机系统 B.知识信息处理系统 C.大型分布式计算机系统 D.超级微型计算机群组成的计算机网。 08. 计算机的算逻单元的控制单元合称为。 A.ALU B.UP C.CPU D.CAD 09. 磁盘驱动器读写数据的基本存取单位为。 A.比特 B.字节 C.磁道 D.扇区 二、填空题 01. 计算机硬件是指, 软件是指, 固件是指。 02. 数控机床是计算机在方面的应用。 03. 人工智能研究, 模式识别研究。

04. 计算机用来处理离散的数据,而计算机用来处理连续性的数据。 05.存储器可分为主存和,程序必须存于内,CPU才能执行其中的指令。 第二章计算机中的信息编码 一、选择题 01. 对真值0表示形式唯一的机器数是。 A.原码 B.补码和移码 C.补码 D.反码 02. 在整数定点机中,下述第说法正确。 A.原码和反码不能表示-1,补码可以表示-1。 B.三种机器数均可表示-1 C.三种机器数均可表示-1,且三种机器数的表示范围相同。 D.以上说法均不对。 03. 在小数定点机中,下述第说法正确。 A.只有补码能表示-1 B.只有原码能表示-1 C.三种机器数均不能表示-1 D.以上说法均不对 04.设X为真值,X*为其绝对值,则等式[-X*]补=[-X]补。 A.成立 B.不成立 05.设X为真值,X*为其绝对值,满足[-X*]补=[-X]补的条件是。 A.X任意 B.X为正数 C.X为负数 D.X为非负数 06.设寄存器内容为11111111,若它等于-0,则为 A.原码 B.补码 C.反码 D.移码 二、填空题 01.采用浮点表示时,若尾数为规格化形式,则浮点数的表示范围取决于的位数,精度取决于的位数,确定浮点数的正负。 02.一个浮点数,当其尾数右移时,欲使其值不变,阶码必须。尾数右移1 位,阶码。 03.一个浮点数,确定了小数点的位置,当其尾数左移时,欲使其值不变,必须使。 04.移码常用来表示浮点数的部分,移码和补码除符号位外,其他

计算机组成原理练习题-答案

一、填空题 1.对存储器的要求是速度快,_容量大_____,_价位低_____。为了解决这方面的矛盾,计算机采用多级存储体系结构。 2.指令系统是表征一台计算机__性能__的重要因素,它的____格式__和___功能___不仅直接影响到机器的硬件结构而且也影响到系统软件。 3.CPU中至少有如下六类寄存器__指令____寄存器,__程序_计数器,_地址__寄存器,通用寄存器,状态条件寄存器,缓冲寄存器。 4.完成一条指令一般分为取指周期和执行周期,前者完成取指令和分析指令操作,后者完成执行指令操作。 5.常见的数据传送类指令的功能可实现寄存器和寄存器之间,或寄存器和存储器之间的数据传送。 6.微指令格式可分为垂直型和水平型两类,其中垂直型微指令用较长的微程序结构换取较短的微指令结构。 7.对于一条隐含寻址的算术运算指令,其指令字中不明确给出操作数的地址,其中一个操作数通常隐含在累加器中 8.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为 2^127(1-2^-23) ,最小正数为 2^-129 ,最大负数为 2^-128(-2^-1-2^-23) ,最小负数为 -2^127 。 9.某小数定点机,字长8位(含1位符号位),当机器数分别采用原码、补码和反码时,其对应的真值范围分别是 -127/128 ~+127/128 -1 ~+127/128 -127/128 ~+127/128 (均用十进制表示)。 10.在DMA方式中,CPU和DMA控制器通常采用三种方法来分时使用主存,它们是停止CPU访问主存、周期挪用和DMA和CPU交替访问主存。 11.设 n = 8 (不包括符号位),则原码一位乘需做 8 次移位和最多 8 次加法,补码Booth算法需做 8 次移位和最多 9 次加法。 12.设浮点数阶码为8位(含1位阶符),尾数为24位(含1位数符),则32位二进制补码浮点规格化数对应的十进制真值范围是:最大正数为,最小正数为,最大负数为,最小负数为。 13.一个总线传输周期包括申请分配阶段、寻址阶段、传输阶段和结束阶段四个阶段。 14.CPU采用同步控制方式时,控制器使用机器周期和节拍组成的多极时序系统。

计算机组成原理实验

计算机组成原理 一、8 位算术逻辑运算 8 位算术逻辑运算实验目的 1、掌握简单运算器的数据传送通路组成原理。 2、验证算术逻辑运算功能发生器74LS181的组合功能。 8 位算术逻辑运算实验内容 1、实验原理 实验中所用的运算器数据通路如图3-1所示。其中运算器由两片74LS181以并/串形成8位字长的ALU构成。运算器的输出经过一个三态门74LS245(U33)到ALUO1插座,实验时用8芯排线和内部数据总线BUSD0~D7插座BUS1~6中的任一个相连,内部数据总线通过LZD0~LZD7显示灯显示;运算器的两个数据输入端分别由二个锁存器74LS273(U29、U30)锁存,两个锁存器的输入并联后连至插座ALUBUS,实验时通过8芯排线连至外部数据总线EXD0~D7插座EXJ1~EXJ3中的任一个;参与运算的数据来自于8位数据开并KD0~KD7,并经过一三态门74LS245(U51)直接连至外部数据总线EXD0~EXD7,通过数据开关输入的数据由LD0~LD7显示。 图中算术逻辑运算功能发生器74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M并行相连后连至SJ2插座,实验时通过6芯排线连至6位功能开关插座UJ2,以手动方式用二进制开关S3、S2、S1、S0、CN、M来模拟74LS181(U31、U32)的功能控制信号S3、S2、S1、S0、CN、M;其它电平控制信号LDDR1、LDDR2、ALUB`、SWB`以手动方式用二进制开关LDDR1、LDDR2、ALUB、SWB来模拟,这几个信号有自动和手动两种方式产生,通过跳线器切换,其中ALUB`、SWB`为低电平有效,LDDR1、LDDR2为高电平有效。 另有信号T4为脉冲信号,在手动方式下进行实验时,只需将跳线器J23上T4与手动脉冲发生开关的输出端SD相连,按动手动脉冲开关,即可获得实验所需的单脉冲。 2、实验接线 本实验用到4个主要模块:⑴低8位运算器模块,⑵数据输入并显示模块,⑶数据总线显示模块,⑷功能开关模块(借用微地址输入模块)。

计班计算机组成原理复习重点白中英版

计算机组成原理课程总结&复习考试要点 一、考试以讲授过的教材中的内容为主,归纳要点如下: 第1章 -第2章计算机概念运算方法和运算器 (一)学习目标 1.了解计算机的分类和应用。 2.掌握计算机的软、硬件构成。 3.掌握计算机的层次结构。 3.掌握数的原码、反码、补码的表示方法。 4.掌握计算机中数据的定点表示和浮点表示方法,并熟练掌握各种表示方法下所能表示的数据的范围。 5.理解定点加法原理及其判断溢出的方法。 6.了解计算机定点乘法、除法的实现方法。 7.了解浮点加法,乘法,除法的实现方法。 8.理解ALU运算器的工作原理及其扩展方法。 (二)第1章学习内容 第一节计算机的分类和应用 要点:计算机的分类,计算机的应用。 第二节计算机的硬件和软件 要点:了解计算机的硬件构成及各部分的功能;了解计算机的软件分类和发展演变。 第三节计算机系统的层次结构 要点:了解计算机系统的层次结构。 (三)第2章学习内容 第一节数据和文字的表示方法 要点:△定点数的表示方法,及其在原码、反码和补码表示下的数值的范围;△○浮点数的表示方法及其不同表示格式下数据的表示范围;常见汉字和字符的几种表示方法; 第二节定点加法、减法运算 要点:△补码加、减法及其溢出的检测方法;二进制加法器和十进制加法器的逻辑构成。 第三节定点乘法运算 要点:原码并行乘法原理;不带符号的阵列乘法器;补码并行乘法原理;○直接补码阵列乘法器。 第四节定点除法运算 要点:理解原码除法原理以及并行除法器的构成原理。 第五节多功能算术/逻辑运算单元 要点:△74181并行进位运算器;74182进位链;△○多位ALU的扩展。 第六节浮点运算运算和浮点运算器

计算机组成原理实验三运算器

实验三:八位运算器组成实验 一:实验目的: 1:掌握运算器的组成原理、工作原理; 2:了解总线数据传输结构; 3:熟悉简单的运算器的数据通路与控制信号的关系; 4:完成给定数据的算术操作、逻辑操作; 二:实验条件: 1:PC机一台; 2:MAX+PLUSⅡ软件; 三:实验内容(一) 1:所用到的芯片 74181:四位算术逻辑运算单元; 74244:收发器(双向的三态缓冲器) 74273:八位D触发器; 74374:八位D锁存器; 74163:八进制计数器; 7449:七段译码器 2:实验电路图 (1)运算器电路图 (A)数据输入电路由两个十六进制计数器连接成16*16=256进制的计数器,可以实现八位的输入。 (B)运算功能选择电路由一个十六进制计数器组成,可以实现16种不同运算的选择。再加上逻辑运算器上的M位和Cn位的选择,一共可以实现16*3=48种运算功能。内部由一个74163构成。

内部结构: (C)数码管扫描显示电路由一个扫描电路scan和一个七段译码器7449组成,scan 内部是一个二选一的多路复用器。 scan内部结构: (D)运算器电路图

(2)波形仿真图 (A)输入两个数A=05H,B=0AH,O5H DR1,0AH DR2,并通过经由74181在总线上显示。

(B)对两个数进行各种数学运算和逻辑运算。加法运算:输出控制:s4s3s2s1=0001,M=0,CN=0 输出使能:ALU_BUS=0 计算结果:05H+0AH=10H

四:实验内容(二) 给定A,B两个数,设A=05H,B=0AH,完成几种常见的算术运算和逻辑运算画出运算的波形和仿真图 (1)逻辑运算:A and B,A or B,取反/A,A⊙B,A⊕B; /A A⊕B A⊙B A and B A or B 输入控制s3s2s1s0 0000 0110 1001 1011 1110 计算结果FAH 0FH F0H 00H 0FH

计算机组成原理练习1

计算机组成原理练习1 一、单项选择题 1. 若十六进数为AC.B,则其十进制数为______。 A. 254.54 B. 2763 C. 172.6875 D. 172.625 2. 存放当前欲执行指令的寄存器是______。 A. MAR B. PC C. MDR D. IR 3. 在独立请求方式下,若有N个设备,则______。 A. 有一个总线请求信号和一个总线响应信号; B. 有N个总线请求信号和N个总线响应信号; C. 有一个总线请求信号和N个总线响应信号; D. 有N个总线请求信号和一个总线响应信号。 4. 动态存储器的特点是______。 A. 工作中存储内容会产生变化 B. 工作中需要动态改变访存地址 C. 工作中需要动态地改变供电电压 D. 需要定期刷新每个存储单元中存储的信息 5. DMA访问主存时,向CPU发出请求,获得总线使用权时再进行访存,这种情况称作______。 A. 停止CPU访问主存; B. 周期挪用; C. DMA与CPU交替访问; D. DMA。 6. 计算机中表示地址时,采用______ 。 A. 原码 B. 补码 C. 反码 D. 无符号数 7. 采用变址寻址可扩大寻址范围,且______。 A. 变址寄存器内容由用户确定,在程序执行过程中不可变; B. 变址寄存器内容由操作系统确定,在程序执行过程中可变; C. 变址寄存器内容由用户确定,在程序执行过程中可变; D. 变址寄存器内容由操作系统确定,在程序执行过程不中可变; 8. 由编译程序将多条指令组合成一条指令,这种技术称做_______。 A. 超标量技术 B. 超流水线技术 C. 超长指令字技术 D. 超字长 9. 计算机执行乘法指令时,由于其操作较复杂,需要更多的时间,通常采用______控制方式。 A. 延长机器周期内节拍数的 B. 异步 C. 中央与局部控制相结合的 D. 同步 10. 微程序放在______中。 A. 存储器控制器 B. 控制存储器 C. 主存储器 D. Cache 11. 在CPU的寄存器中,______对用户是完全透明的。 A. 程序计数器 B. 指令寄存器 C. 状态寄存器 D. 通用寄存器 12. 运算器由许多部件组成,其核心部分是______。 A. 数据总线 B. 算术逻辑运算单元

计算机组成原理实验

实验一基础汇编语言程序设计 一、实验目的: 1、学习和了解TEC-XP16教学实验系统监控命令的用法。 2、学习和了解TEC-XP16教学实验系统的指令系统。 3、学习简单的TEC-XP16教学实验系统汇编程序设计。 二、预习要求: 1、学习TEC-XP16机监控命令的用法。 2、学习TEC-XP16机的指令系统、汇编程序设计及监控程序中子程序调用。 3、学习TEC-XP16机的使用,包括开关、指示灯、按键等。 4、了解实验内容、实验步骤和要求。 三、实验步骤: 在教学计算机硬件系统上建立与调试汇编程序有几种操作办法。 第一种办法,是使用监控程序的A命令,逐行输入并直接汇编单条的汇编语句,之后使用G命令运行这个程序。缺点是不支持汇编伪指令,修改已有程序源代码相对麻烦一些,适用于建立与运行短小的汇编程序。 第二种办法,是使用增强型的监控程序中的W命令建立完整的汇编程序,然后用M命令对建立起来的汇编程序执行汇编操作,接下来用G命令运行这个程序。适用于比较短小的程序。此时可以支持汇编伪指令,修改已经在内存中的汇编程序源代码的操作更方便一些。 第三种办法,是使用交叉汇编程序ASEC,首先在PC机上,用PC机的编辑程序建立完整的汇编程序,然后用ASEC对建立起来的汇编程序执行汇编操作,接下来把汇编操作产生的二进制的机器指令代码文件内容传送到教学机的内存中,就可以运行这个程序了。适用于规模任意大小的程序。

在这里我们只采用第一种方法。 在TEC-XP16机终端上调试汇编程序要经过以下几步: 1、使教学计算机处于正常运行状态(具体步骤见附录联机通讯指南)。 2、使用监控命令输入程序并调试。 ⑴用监控命令A输入汇编程序 >A 或>A 主存地址 如:在命令行提示符状态下输入: A 2000↙;表示该程序从2000H(内存RAM区的起始地址)地址开始 屏幕将显示: 2000: 输入如下形式的程序: 2000: MVRD R0,AAAA ;MVRD 与R0 之间有且只有一个空格,其他指令相同 2002: MVRD R1,5555 2004: ADD R0,R1 2005: AND R0,R1 2006: RET ;程序的最后一个语句,必须为RET 指令 2007:(直接敲回车键,结束A 命令输入程序的操作过程) 若输入有误,系统会给出提示并显示出错地址,用户只需在该地址重新输入正确的指令即可。 ⑵用监控命令U调出输入过的程序并显示在屏幕上 >U 或>U 主存地址

计算机组成原理课程设计

《计算机组成原理》大作业报告 题目名称:交通灯控制系统设计 学院(部 ): 计算机学院 专业:计算机科学与技术 学生姓名: 班级 学号 最终评定成绩:___________________________________ 湖南工业大计算机学院 目录

交通在人们的日常生活中占有重要的地位,随着人们社会活动的日益频繁,这点更是体现的淋漓尽致。交通信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。近年来随着科技的飞速发展,的应用正在不断深入,同时带动传统控制检测技术日益更新。在实时检测和自动控制的机应用系统中,单片机往往作为一个核心部件来使用,仅单片机方面知识是不够的,还应根据具体硬件结构软硬件结合,加以完善。 本系统采用单片机AT89S52为中心器件来设计交通灯控制器,系统实用性强、操作简单、扩展性强。本设计就是采用单片机模拟十字路口交通灯的各种状态显示以及倒计时时间。 本设计系统由单片机I/O 口扩展系统、交通灯状态显示系统、LED数码显示系统、复位电路等几大部分组成。系统除基本的交通灯功能外,还具有倒计时等功能,较好的模拟实现了十字路口可能出现的状况。 软件上采用C51编程,主要编写了主程序,LED数码管显示程序,中断程序延时程序等。经过整机调试,实现了对十字路口交通灯的模拟。 1. 引言 当今,红绿灯安装在各个道口上,已经成为疏导交通车辆最常见和最有效的手段。但这一技术在1 9世纪就已出现了。 1858 年,在英国伦敦主要街头安装了以燃煤气为光源的红,蓝两色的机械扳手式信号灯,用以指挥马车通行。这是世界上最早的交通信号灯。1868年,英国机械工程师纳伊特在伦敦威斯敏斯特区的议会大厦前的广场上,安装了世界上最早的煤气红绿灯。它由红绿两以旋转式方形玻璃提灯组成,红色表示“停止” ,绿色表示“注意” 。1869 年1月2日,煤气灯爆炸,使警察受伤,遂被取消。 电气启动的红绿灯出现在美国,这种红绿灯由红绿黄三色圆形的投光器组成,19xx 年始安装于纽约市5号大街的一座高塔上。红灯亮表示“停止” ,绿灯亮表示“通行”。 19xx 年,又出现了带控制的红绿灯和红外线红绿灯。带控制的4 红绿灯,一种是把压力探测器安在地下,车辆一接近红灯便变为绿灯;另一种是用扩音器来启动红绿灯,司机遇红灯时按一下嗽叭,就使红灯变为绿灯。红外线红绿灯当行人踏上对压力敏感的路面时,它就能察觉到有人要过马路。红外光束能把信号灯的红灯延长一段时间,推迟汽车放行,以免发生交通事故。 信号灯的出现,使交通得以有效管制,对于疏导交通流量、提高道路通行能力,减少交通事故有明显效果。19xx 年,联合国《道路交通和道路标志信号协定》对各种信号灯的含义作了规定。绿灯是通行信号,面对绿灯的车辆可以直行,左转弯和右转弯,除非另一种标志禁止某一种转向。左右转弯车辆都必须让合法地正在路口内行驶的车辆和过人行横道的行人优先通行。红灯是禁行信号,面对红灯的车辆必须在交叉路口的停

计算机组成原理练习题一

计算机组成原理练习题一 一、简答题: 1、电子计算机一般分成哪些组成部分?为什么要分成这些组成部分? 答:电子计算机主要有五个组成部分:输入设备、控制单元、存储器、运算单元和输出设备。这些部件相互配合,相互协调地完成运算任务。输入设备用于接收外界信息,输出设备将计算的结果从计算机中输出,控制器完成操作步骤的控制和协调,存储器用于存储程序和数据,运算器则是完成计算工作的部件。 2、计算机中采用什么计数制?为什么? 答:计算机中所采用的是二进制的数据表示形式,因为二进制能方便可靠地用数字电路的逻辑电平表示。 3、运算器中可以有哪些寄存器?为什么? 答:首先讲一下寄存器的概念,寄存器是运算中临时存放数据的部件,由触发器构成,用于存储最频繁使用的数据。 运算器中可以有存储数据的寄存器,用于存放一些中间运算结果等;运算器中还可以有保存指令的寄存器、保存运算状态的寄存器以及保存存储器地址的寄存器。 原因:数据和存储器地址等信息从存储器中取出后需要临时保存在运算器中,运算的中间结果在存放到存储器中之前也需要临时存放。 4、什么是存储器的容量?什么是数据字?什么是指令字? 答:存储器的容量是衡量存储器容纳信息能力的指标.主存储器中数据的存储一般是以字为单位时进行,存储器中存储的一个字的信息如果是数据则称为数据字,如果是指令则称为指令字. 5、存储器中存储的数据和程序是怎样区分的? 答:数据和指令都以二进制代码的形式存储在存储器中,从代码本身无法区别它是数据还是指令,CPU在取指令时把从存储器中读取的信息都看作指令,在读取数据时把从存储器中读取的信息都看成是数据。为了区分运算数据和程序中的指令,程序员在编写程序时需要知道每个数据的存储位置以及指令的存储位置,以避免将指令当作数据或者将数据当作指令。 6、存储器中可存放大量数据,怎样从中找出指定的数据? 答:为了寻找主存储器中的某一个数据的位置,需要给不同的存储位置指定一个编号,也就是编排地址.数据写入和读出通过指定一个地址进行,就可以从存储器中找出指定的数据. 7、某计算机的内存为64MB,试计算该内存有多少个字节? 答:存储器容量的换算关系:1KB=1024B,1MB=1024KB,1GB=1024MB,1TB=1024GB。 64MB=64×1024KB=64×1024×1024B=67108864B。 8、计算机的存储器为什么要有内存和外存之分?

计算机组成原理实验七

图16 启停单元布局图 序电路由1片74LS157、2片74LS00、4个LED PLS2、PLS3、PLS4)组成。当LED发光时 图17

图17 时序单元布局图 (二)启停、脉冲单元的原理 1.启停原理:(如图18) 启停电路由1片7474组成,当按下RUN按钮,信号输出RUN=1、STOP=0,表示当前实验机为运行状态。当按下STOP 按钮,信号RUN=0、STOP=1,表示当前实验机为停止状态。当 系统处于停机状态时,微地址、进位寄存器都被清零,并且可 通过监控单元来读写内存和微程序。在停止状态下,当HALT 时有一个高电平,同时HCK有一个上升沿,此时高电平被打入 寄存器中,信号输出RUN=1、STOP=0,使实验机处于运行状态。

图18 启停单元原理图 2.时序电路: 时序电路由监控单元来控制时序输出(PLS1、PLS2、PLS3、PLS4)。实验所用的时序电路(如图19)可产生4个等间隔的时序信号PLS1、PLS2、PLS3、PLS4。为了便于监控程序流程,由监控单元输出PO信号和SIGN脉冲来实现STEP(微单步)、GO (全速)和HALT(暂停)。当实验机处于运行状态,并且是微单步执行,PLS1、PLS2、PLS3、PLS4分别发出一个脉冲,全速执行时PLS1、PLS2、PLS3、PLS4脉冲将周而复始的发送出去。在时序单元中也提供了4个按钮,实验者可手动给出4个独立的脉冲,以便实验者单拍调试模型机。

图19 时序电路图 实验步骤 1.交替按下“运行”和“暂停”,观察运行灯的变化(运行:RUN 亮;暂停:RUN灭)。 2.把HALT信号接入二进制拨动开关,HCK接入脉冲单元的PLS1。按下表接线 接入开关位号 信号定 义 HCK PLS1孔 HALT H13孔 3.按启停单元中的停止按钮,置实验机为停机状态,HALT=1。 4.按脉冲单元中的PLS1脉冲按键,在HCK上产生一个上升

计算机组成原理课程综述

合肥学院 计算机组成原理综述论文 题目计算机组成原理综述系部计算机科学与技术系专业网络工程 班级网工(2)班 学生姓名邓传君 指导教师张向东 2014 年12 月24 日 计算机组成原理课程综述

内容摘要: 计算机组成原理(COMPUTER ORGANIZATION)是依据计算机体系结构,在确定且分配了硬件子系统的概念结构和功能特性的基础上,设计计算机各部件的具体组成,以及它们之间的连接关系,实现机器指令级的各种功能和特性,这点上说计算机组成原理是计算机体系结构的逻辑实现。 关键词:存储、指令、CPU、控制器、微命令 一、计算机组成原理课程综述 计算机组成原理是计算机应用和计算机软件专业以及其他相关专业必修的专业基础课,它主要讨论计算机各组成部件的基本概念、基本结构、工作原理及设计方法。教学实践证明,通过对该课程的学习,对于建立整机概念,研究各功能部件的相互连接与相互作用,进行各功能部件的逻辑设计,都有着重要的意义。组成原理是计算机类专业的一门主干必修课程,它以层次结构的观点来叙述计算机各主要功能部件及组成原理;以数据信息和控制信息的表示、处理为主线来组织教学。课程内容按横向方式组织,即不是自始至终介绍某一特定计算机的组成和工作原理,而是从一般原理出发,结合实例加以说明。 二、计算机组成原理内容和基本原理 下面是我对这门课程知识点的理解: 1.计算机有运算器、存储器、控制器、输入设备、输出设备五大部件组成。 2.指令和数据以同等地位存放于存储器内,并可按地址寻访。 3.指令和数据均用二进制数表示。 4.指令由操作码和地址码组成,操作码用来表示操作的性质,地址码用来表示操作数在存储器中的位置。 5.指令在存储器内按顺序存放。通常,指令是顺序执行的,在特定条件下,可根据运算结果或根据设定的条件改变执行顺序。 6.机器以运算器为中心,输入输出设备与存储器间的数据传送通过运算器完成。 典型的冯·诺依曼机是以运算器为中心的,现代的计算机已转化为以存储器为中心: 1.运算器用来完成算术运算和逻辑运算,并将运算的中间结果暂存在运算器内。 2.存储器用来存放数据和程序。 3.控制器用来控制、指挥程序和数据的输入、运行以及处理运算结果。 4.输入设备用来将人们熟悉的信息形式转换为机器能识别的信息形式。

计算机组成原理练习题及参考答案

1.10111000当做无符号数的值为多少,当做整数的值为多少,当做定点小数的值为多少?(十进制数) 无符号:2^7+2^5+2^4+2^3=128+32+16+8=184 整数:10111000 定点小数:10111000 11000111(取反) 11000111(取反) + 1 + 1 11001000 11001000 -(2^3+2^6)=-72 -(1/2+1/16)=-9/16 2.已知接受到的信息为001100001111,其中有效数据位为8位,运用海明码检测,问信息传输是否有错?8位的数据值是多少? 编号 检测位 数据位 12 1100 0 M8 C1=M1⊕M2⊕M4⊕M5⊕M7=0 11 1011 0 M7 C2=M1⊕M3⊕M4⊕M6⊕M7=0 10 1010 1 M6 C4=M2⊕M3⊕M4⊕M8=0 9 1001 1 M5 C8=M5⊕M6⊕M7⊕M8=0 8 1000 0 C8 7 0111 0 M4 发:0111 6 0110 0 M3 收:0000 5 0101 0 M2 发 ⊕收=0111 4 0100 1 C4 即M4出错则数据实为00111001 3 0011 1 M1 2 0010 1 C2 1 0001 1 C1 3.已知原始报文为1111,生成多项式为G (x )=x 4+x 2 +x+1,求编码后的报文 (1):将生成多项式为G (x )=x 4+x 2 +x+1,转换成对应的二进制为10111 (2)生成多项式为5(R+1)位,将原始报文左移4(R)位为11110000 (3)进行模2除 _______00011__________ ______ 10111________________00010100_____________10111_______________010010________ 10111_____1101 11110000 10111 (4)编码CRC 码为11110011 4.采用IEEE754标准的32位短浮点数格式,即0-22位为尾数,23-30位为阶码位,第1位为数符,其中阶码偏置为127,试求出32位浮点代码CC9E23AF 的真值(结果可用任何进

计算机组成原理实验完整版

河南农业大学 计算机组成原理实验报告 题目简单机模型实验 学院信息与管理科学学院 专业班级计算机科学与技术2010级1班 学生姓名张子坡(1010101029) 指导教师郭玉峰 撰写日期:二○一二年六月五日

一、实验目的: 1.在掌握各部件的功能基础上,组成一个简单的计算机系统模型机; 2.了解微程序控制器是如何控制模型机运行的,掌握整机动态工作过程; 3定义五条机器指令,编写相应微程序并具体上机调试。 二、实验要求: 1.复习计算机组成的基本原理; 2.预习本实验的相关知识和内容 三、实验设备: EL-JY-II型计算机组成原理试验系统一套,排线若干。 四、模型机结构及工作原理: 模型机结构框图见实验书56页图6-1. 输出设备由底板上上的四个LED数码管及其译码、驱动电路构成,当D-G和W/R均为低电平时将数据结构的数据送入数据管显示注:本系统的数据总线为16位,指令、地址和程序计数器均为8位。当数据总线上的数据打入指令寄存器、地址寄存器和程序寄存器时,只有低8位有效。 在本实验我们学习读、写机器指令和运行机器指令的完整过程。在机器指令的执行过程中,CPU从内存中取出一条机器指令到执行结束为一个指令周期,指令由微指令组成的序列来完成,一条机器指令对应一段微程序。另外,读、写机器指令分别由相应的微程序段来完成。

为了向RAM中装入程序和数据,检查写入是否正确,并能启动程序执行,必须设计三个控制操作微程序。 存储器读操作(MRD):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“00”时,按“单步”键,可对RAM连续读操作。 存储器写操作(MWE):拨动清零开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“10”时,按“单步”键,可对RAM连续写操作。 启动程序(RUN):拨动开关CLR对地址、指令寄存器清零后,指令译码器输入CA1、CA2为“11”时,按“单步”键,即可转入第01号“取指”微指令,启动程序运行。 注:CA1、CA2由控制总线的E4、E5给出。键盘操作方式有监控程序直接对E4、E5赋值,无需接线。开关方式时可将E4、E5接至控制开关CA1、CA2,由开关控制。 五、实验内容、分析及参考代码: 生成的下一条微地址 UA5 UA0 MS5 MS0 微地址

《计算机组成原理》课程标准

《计算机组成原理》课程标准 一、课程基本情况 课程名称:计算机组成原理 适用专业:计算机应用专业 课程性质:专业核心课程 计划学时:60学时 二、制定课程标准的依据 本课程教学标准依据中职计算机应用专业的专业教学标准中的人才培养目标和培养规格以及对 计算机组成原理课程教学目标要求而制定,用于指导计算网组成原理课程教学和课程建设。 三、课程性质 本课程是计算机应用专业的一门专业核心课程。本课程通过介绍计算机硬件基本结构、工作原理和分析设计方法等方面的知识,培养学生对计算机的整机概念有较完整清晰的认识,对计算机的硬件结构有深刻的理解和对硬件的分析与设计方法有一定的认识。同时也为学习后续课程打下一定的基础。 四、本课程与前续课程和后续课程的关系 本课程学习和训练之前,学生应已修完如下课程:计算机应用基础、数字电路,而他的后续课 程是计算机系统结构、计算机组成原理。本课程在他的前续课程和后续课程之间起到了纽带的作用。 五、课程的教育目标 1.知识、能力目标 (1)知道《计算机组成原理》这门学科的性质、地位和独立价值; (2)理解计算机系统的运算器、控制器、存储器、输入设备和输出设备五大组成部件的概念和功能,及以整机的工作原理; (3)理解数值数据的表示方法以及运算器的计算方法,了解非数值数据的表示方法和常用编码; (4)理解运算器、控制器、存储器、以及有关的输入设备和输出设备等各个部件的组成结构和基本功能; (5)掌握基本的定点数的加、减运算和实现的基本逻辑电路框图以及浮点数的表示方法; (6)掌握指令的概念和功能以及指令的各种寻址方式和指令类型; (7)知道存储器层次结构和主存系统的设计方法; (8)掌握CPU的功能及组成; (9)理解几种常见的外围设备的信息交换方式; (10)了解常用的外围设备和使用方法; (11)理解组合逻辑控制器和微程序控制器的基本的设计和分析方法。 2.方法、过程目标 (1)通过本课程的学习,培养学生通过计算机组成原理实验,进一步理解计算机内部的工作原

计算机组成原理实验

实验3 MIPS指令系统和MIPS体系结构 一.实验目的 (1)了解和熟悉指令级模拟器 (2)熟悉掌握MIPSsim模拟器的操作和使用方法 (3)熟悉MIPS指令系统及其特点,加深对MIPS指令操作语义的理解(4)熟悉MIPS体系结构 二. 实验内容和步骤 首先要阅读MIPSsim模拟器的使用方法,然后了解MIPSsim的指令系统。(1)、启动MIPSsim (2)、选择“配置”->“流水方式”选项,使模拟器工作在非流水方式。

(3)、参照使用说明,熟悉MIPSsim模拟器的操作和使用方法。 (4)、选择“文件”->“载入程序”选项,加载样例程序 alltest.asm,然后查看“代码”窗口,查看程序所在的位置。 (5)、查看“寄存器”窗口PC寄存器的值:[PC]= 0x00000000 。

(6)、执行load和store指令,步骤如下: 1)单步执行一条指令(F7)。 2)下一条指令地址为 0x00000004 ,是一条有(有,无)符号载入字节 (字节,半字,字)指令。 3)单步执行一条指令(F7)。 4)查看R1的值,[R1]=-128。

5)下一条指令地址为 0x00000008 ,是一条(有,无)符号载入字(字节,半字,字)指令。 6)单步执行1条指令。 7)查看R1的值,[R1]=128。 8)下一条指令地址为 0x0000000C ,是一条无(有,无)符号载入字(字节,半字,字)指令。 9)单步执行1条指令。

10)查看R1的值,[R1]=128。 11)单步执行1条指令。 12)下一条指令地址为 0x00000014 ,是一条保存字(字节,半字,字)指令。 13)单步执行一条指令。

相关主题
文本预览
相关文档 最新文档