当前位置:文档之家› 数字逻辑设计及应用复习题

数字逻辑设计及应用复习题

数字逻辑设计及应用复习题
数字逻辑设计及应用复习题

………密………封………线………以………内………答………题………无………效……

一、填空题(每空1分,共20分)

1、请完成如下的进制转换:22.7510= 10110.11 2= 26.6 8= 16.C 16;

2、F6.A 16= 246.625 10= 0010 0100 0110.0110 0010 0101 8421BCD = 0101 0111 1001.1001 0101 1000

余3码

3、-9910的8位(包括符号位)二进制原码是 11100011 ,8位二进制反码是 10011100 ,8位二进制补码是 10011101 ;

4、请问逻辑F=A /B+(CD)/+BE /的反函数F /=

A C D E CD

B /+ ;

解:

ACDE

CD B CDE B ACDE CD B CD AB )

E B (CD )B A ()BE )CD (B A (

F ///////////+=+++=+??+=++=

5、F(A,B,C)=Σm (2,4,6)=ПM( 0,1,3,4,7 );

6、请问图1-6所完成的逻辑是Y= A ⊕B ; 解:通过真值表可以可到该逻辑:

7、74148器件是一个3-8编码器,它采用的编码方式是 优先编码 或 数大优先编码 ; 8、74283器件是一个4位全加器,它的内部逻辑电路与串行加法器不同,采用的是 超前进位 或 先行进位 方法来实现全加逻辑。

9、如果一个与或逻辑电路的函数式为:)C B )(B A (Y /

/

++=,该逻辑存在静态冒险,现通过添加冗余项的方式来消除该冒险,则该冗余项为 (A /+C ) ; 10、请写出JK 触发器的特性方程:*

Q = JQ /+K /Q ;

11、请写出T 触发器的特性方程:*Q = T ⊕Q 或者TQ /+T /Q ; 12、请写出D 触发器的特性方程:*Q = D ; 13、请写出SR 触发器的特性方程:*Q =

S+R /Q ;

14、如果某组合逻辑的输入信号的个数为55个,则需要 6 位的输入编码来实现该逻辑。 解:采用的公式应该是log 255,向上取整

二、选择题(每题1分,共10分)

1、下面有关带符号的二进制运算,描述正确的是,其中X 是被加数,Y 是加数,S 为和:

①. [X]原码+[Y]原码=[S]原码 ②. [X]补码+[Y]补码=[S]补码

图1-6

………密………封………线………以………内………答………题………无………效……

③. [X]反码+[Y]反码=[S]反码 ④. [X]原码+[Y]原码=[S]补码

2、逻辑函数式AC+ABCD+ACD /+A /C=

①. AC ②. C ③. A ④. ABCD 3、请问F=A ⊕B 的对偶式=D

F

①. A+B ②. A ⊙B ③. AB ④. AB /+A /B 4、已知门电路的电平

参数如下:

,,,,V 8.0V V 0.2V V 5.0V V 7.2V max IL min IH max O L min O H ====请问其高电平的噪声容限为: ①.2.2V ②.1.2V ③.0.7V ④.0.3V

5、下面描述方法,对于一个组合逻辑而言,具备唯一性的是:

①.逻辑函数式 ②.真值表

③.卡诺图 ④.逻辑电路图 6、下面电路中,属于时序逻辑电路的是:

①.移位寄存器 ②.多人表决电路 ③.比较器 ④.码制变换器

7、一个D 触发器的驱动方程为Q X D ⊕=,则其逻辑功能与以下哪种触发器相同:

①. JK 触发器 ②. SR 触发器 ③. D 触发器 ④. T 触发器

8、n 位环形计数器,其计数循环圈中的状态个(模)数为:

①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个

9、n 位扭环计数器,其计数循环圈中的状态个(模)数为:

①.n 个 ②.2n 个 ③.2n 个 ④.2n -1个

10、用555时基电路外接定时阻容元件构成单稳态触发器,当增大阻容元件的数值时,将使:

①.输出脉冲的幅度增加 ②.输出脉冲宽度增加 ③.输出脉冲重复频率提高 ④.以上说法都不对

三、判断题(每题1分,共10分)

1、CMOS 集成逻辑OD 门,可以用以线与操作;(√ )

2、三态门的附加控制端输入无效时,其输出也无效;( Х )

3、三态门的三个状态分别为高电平、低电平和高阻态;(√ )

4、施密特触发输入的门电路,当输入从高电平变换到低电平,和从低电平变换到高电平,它的输出变化轨迹相同;( Х )

5、组合逻辑和时序逻辑的区别主要在于前者与时间无关,而后者时间的因素必须考虑进去;( √ )

6、一个逻辑的函数式并不唯一,但是最简的与或表达式是唯一的;(Х )

7、模拟信号是连续的,而数字信号是离散的;(√ )

8、当两个组合逻辑的真值表相同是,则表明这两个逻辑是相等的;( √ )

………密………封………线………以………内………答………题………无………效……

9、对于一个优先编码器而言,当输入多个有效时,其输出很难讨论;(Х ) 10、串行加法器比超前进位加法器速度更快,且电路更为简单;( Х) 四、卡诺图化简(8分)

请将逻辑F (A,B,C,D ) = ∑m( 0, 2, 3, 5, 7, 8, 10, 11, 13)化成最简与或式;

/////D B C B CD A D BC F +++=或者/////D B C B BD A D BC F +++=

五、组合逻辑分析,要求如下:(8分)

该逻辑电路图如图5所示,具体要求如下:

1、 写出逻辑S 和CO 的逻辑函数式

∑∑=?+?+?+?==ABCI //////

i i )

7,4,2,1(AB CI AB CI B A CI B A CI m D S

2、 画

逻辑的真值表∑∑=?+?+?+?==A

B C

I

////

i i )7,56,3(AB 1AB CI B A CI B A 0m D CO

3、真值表

图5

或者状态图: 以上图表任画一个即得分。

七、组合逻辑设计,要求如下:(8分)

74138芯片和一定的门电路实现如下逻辑:

??++=BC

AC AB 1F /2

图6

+1

Q 2Q 2XQ /

/

………密………封………线………以………内………答………题………无………效……

其中74138为3-8二进制译码器

解题步骤:?????=+==++=∑∑)

5,3,2,1(m C B B A )C ,B ,A (2F )

7,6,5,3(m BC AC AB )C ,B ,A (1F /

/

八、时序逻辑设计,要求如下:(10分)

利用74163和一定的门电路实现如下的七进制计数器。74163为4位的同步二进制加计数器。

第一种方法:在状态0111时,重置状态到0001或1001;电路图如下:

第二种方法:在状态1111,通过行波输出重置状态到1001;电路图如右:

8

………密………封………线………以………内………答………题………无………效……

两种方法皆可

九、时序逻辑设计,要求如下:(10分)

用mealy型时序逻辑电路设计一个101串行数据检测的时序状态机。要求画出化简后的状态转换图。

………密………封………线………以………内………答………题………无………效……

一、选择题(请在答题纸上做答,每题2分,共20分)

1、十进制数128的8421BCD码是( B )。

A.10000000

B. 000100101000

C.100000000

D.100101000

2、若输入变量A、B全为1时,输出F=1,则其输入与输出的关系是(B )。

A.异或

B.同或

C.或非

D.与或

3、逻辑代数中的三种基本运算是(A )

A.与、或、非 B. 与非、或非、与或非

C. 异或、同或、非

D. 加、减、乘

4、关于最小项,任意两个最小项的乘积为( A )

A. 0

B. 1

C. 与变量输入值有关系

D. 无法确定

5、逻辑表达式A+BC=( C )。

A. A+B

B. A+C

C. (A+B)(A+C)

D. B+C

6、函数F(A,B,C)=AB+BC+AC的最小项表达式为( B ) 。

A.F(A,B,C)=∑m(0,2,4) B. (A,B,C)=∑m(3,5,6,7)

C.F(A,B,C)=∑m(0,2,3,4) D. F(A,B,C)=∑m(2,4,6,7)

7、在下列逻辑电路中,不是组合逻辑电路的是(D )。

A. 译码器

B. 编码器

C. 全加器

D.寄存器

8、下列触发器中,抗干扰能力最强的是( D )

A. RS电平触发器

B. 主从RS触发器

C.主从JK触发器

D. 边沿JK触发器

9、要使JK触发器的输出Q从1变成0,它的输入信号JK应为( B )。

A. 00

B. 01

C. 10

D. 无法确定

10、关于组合逻辑电路与时序逻辑电路,下列表述错误的是( A )

A. 组合逻辑电路有可能含有存储电路

B. 时序逻辑电路含有组合逻辑电路

C. 组合逻辑电路输出状态仅仅由当前输入状态有关系

D. 时序逻辑电路输出的状态与过去的状态也有关系

二、填空题(请在答题纸上做答,每题2分,共20分)

1、将逻辑函数

1'

L AC C D

=+转化为“与非—与非”形式:____________。

2、将(25.75)10转化为二进制_____________;转化为16进制为:_____________;用

8421BCD码表示为:_____________。

3、D触发器的特征方程为,JK触发器的特征方程为,

………密………封………线………以………内………答………题………无………效……

T触发器的特征方程为。

4、把JK触发器改成T触发器的方法是__把J和K连接一起接为T___________

5、同步触发器在一个CP脉冲高电平期间发生多次翻转,称为空翻现象。

6、用n个触发器构成计数器,可得到最大计数长度是___2的n次方_________。

三、综合题(请在答题纸上做答,5小题,共60分)

1、将下面的表达式化简成最简与或式:(10分)

2、用译码器74HC138和适当的逻辑门电路实现函数:(10分)

注:

图1 74HC138芯片示意

表1 74HC138逻辑功能表

………密………封………线………以………内………答………题………无………效……

74HC138的功能表:

3、分析如图(a)所示时序电路,设电路的初始状态为0。

(1)画出其状态表和状态图(10分)

(2)画出在时钟脉冲作用下(见图(b)),Q和Z的波形图。(5分)

………密………封………线………以………内………答………题………无………效……

4、用74160设计一个7进制计数器,其计数状态为自然二进制数1001~1111。74160芯片示意图以及功能表如下图所示。(10分)

注:“L”表示低电平“0”;“H”表示高电平“1”;“x”表示“不关心”

5、试用上升沿触发的D触发器设计一个1111序列检测器,它有一个输入端A和一个输出端Y。

从A端输入串行的数据,如果连续输入四个“1”,则输出端Y输出高电平“1”,否则输出低电平“0”。(15分)

数字逻辑和设计基础 期末复习题

1、采用3-8线译码器74LS138和门电路构成的逻辑电路如图所示,请对该电路进行分析,写出输出方程,并化解为最简与-或式。(10分) 1、解:分析此图,可知:F1=0134m m m m +++, F2=4567m m m m +++ 化简过程:由卡诺图及公式化简均可,此处略 化简得:1F A C BC =+(2分) 2F A = 2.已知逻辑函数: F ABC ABC ABC ABC ABC =++++,试用一片4选1数据选择器和门电路实现该逻辑函数,要求采用代数法,写出设计全过程,并画出电路图。 (10分) A 1 ST Y D 0D 1D 2D 3 A 0 ① 写出逻辑函数F 的表达式(2分) ==F A B C AB C ABC A BC ABC A B C AB C C A BC ABC A B C AB A BC ABC =+++++++++++() ② 写出4选1数据选择器输出端逻辑函数Y 的表达式(2分) 100101102103Y A A D A A D A A D A A D =+++ ③令 10A A A ==、B ,比较F 和Y 两式可得: (2分)

01231D C D D D C ==== ④ 根据上式画出的逻辑图。(4分) 五、 画出下列各触发器Q 端的波形:(设Q n = 0)(10 分,每小题5 分) 1、已知JK 触发器输入信号J 和K 、时钟脉冲CP 、异步置位端D R 和D S 的波形如下图 所示,试画出触发器输出端Q 的波形,设初始状态为0。(5分) Q CP J S D D K J 2、下图由边沿D 触发器构成的触发器电路,设其初始状态为0。输入信号如右 图所示,试画出Q 端的输出波形。(5分) CP Q D R D

2012年数字逻辑复习题

2012数字逻辑复习提要 一、选择题 1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A ) A. 8 B. 82 C. 28 D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B ) A. 8421BCD 码 B. 5211BCD 码 C. 2421BCD 码 D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL 6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。 A . B . C . D . 7.组合电路是指 B 组合而成的电路。 A .触发器 B .门电路 C .计数器 D .寄存器 8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1 =Q ,则A ,B 输入应为 AB 。 A .A=0,B=0 B .A=1,B=1 C .A=0,B=1 D .A=1,B=0 9.一位十进制计数器至少需要 4个触发器。 A .3 B .4 C .5 D .10 D B A D B A D B A ++D B A D C A C B A ++D C A D B A C B A ++D B A D B A D B A ++

10.n 个触发器构成的扭环计数器中,无效状态有 D 个。 A .n B .2n C .2 n-1 D .2n -2n 11.GAL 器件的与阵列 ,或阵列 D 。 A .固定,可编程 B .可编程,可编程 C .固定,固定 D .可编程,固定 12.下列器件中是 C 现场片。 A .触发器 B .计数器 C .EPROM D .加法器 13.IspLSI 器件中,缩写字母GLB 是指 B 。 A . 全局布线区 B .通用逻辑块 C .输出布线区 D .I/O 单元 14. 在下列逻辑部件中,不属于组合逻辑部件的是D 。 A . 译码器 B .编码器 C .全加器 D .寄存器 15. 八路数据选择器,其地址输入端(选择控制段)有 C 个。 A .8 B .2 C .3 D .4 16. 为将D 触发器转换为T 触发器,下图所示电路虚线框内应是 。 A . 或非门 B . 与非门 C . 异或门 D . 同或门 17.用n 个触发器构成计数器,可得到最大计数摸是 B 。 A .n B .2n C .2 n D .2n-1 18.) (F ,)6,5,4,3,2,1,0(C)B ,,F(A == ∑则m C (A)ABC (B)A+B+C (C)__ __ __ C B A ++ (D) __ ____C B A 19.或非门构成的基本RS 触发器,输入端SR 的约束条件是(A ) (A)SR=0 (B)SR=1 (C)1__ __ =+R S (D) 0__ __=+R S 21.在CP 作用下,欲使D 触发器具有Q n+1 =__ n Q 的功能,其D 端应接( D ) (A)1 (B) 0 (C) n Q (D) __n Q

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字逻辑2013期末复习

数字逻辑 2013期末复习一 一、单项选择题 1、n 个变量可构成( 3 )个最小项。 (1)、2n (2)2n-1 (3)n 2 (4)1 2 -n 2、集电极开路(OC )门电路如下所示,该电路实现的逻辑功能是( 1 )。 (1)DE ABC ? (2)ABCDE (3)DE ABC +(4)DE ABC ? 3、若两个逻辑函数表达式的对偶式F`和G`相等,则逻辑函数F 和G ( 1 )。 (1)相等 (2)不相等 (3)可能等也可能不等 (4)互补 4、为了实现将D 触发器转换为JK 触发器,D 应等于( 1 )。 (1)Q K Q J + (2)Q K JQ ?+ (3)Q K Q J + (4)Q K JQ ?+ 5、构成一个6进制加法计数器,至少需要( 2 )个触发器。 (1)2个 (2)3个 (3)4个 (4)5个 6、对同一逻辑门电路,分别使用正逻辑和负逻辑表示输入和输出之间的关系,其表达式( 2 )。 (1) 互为反函数 (2) 互为对偶式 (3)相等 (4) 答案都不对 7、F (A,B,C,D)=AB+CD,它包含的最小项个数是( 3 ) (1) 2个 (2) 4个 (3) 7个 (4) 8个 8、下列编码是有效余三码的是( 3 )。 (1) 1111 (2) 0000 (3) 1010 (4) 0010 9、F(A,B,C,D)=AB+CD ,变量A,B,C 哪个取值组合能使F=1。( 2 ) (1) 0000 (2) 0011 (3) 0101 (4) 1010 10、八进制数(175.236)8的十六制数是( 1 )。 (1) 16 (7.4)D F (2) 16 (7.4)D E (3) 16 (7.4)C F (4) 16 (7.3)D F 11、下列逻辑函数中,与(A+B )(A+C)等价的是( 3 )。 (1) F=AB (2)F=A+B(3) A+BC (4) F= B+C 12、函数F 的卡诺图如图1-1,其最简与或表达式是( 4 )。 (1)D B A D B A F +=D C A + (2)D B A D C A C B A F ++=

数字逻辑设计习题参考答案 (第2,3章)

数字逻辑设计 习题册 班级: 学号: 姓名: 哈尔滨工业大学(威海) 计算机科学与技术学院体系结构教研室

第2章 逻辑代数基础 2—1 填空 1.摩根定理表示为:=?B A _B A + __;=+B A _B A ?__。 2. 函数表达式D C AB Y ++=,则其对偶式为='Y _D C B A ??+)(_______。 3.根据反演规则,若C D C B A Y +++=,则=Y C D C B A ?++)(。 4.函数式CD BC AB F ++=写成最小项之和的形式结果为 ()15,14,113,12,11,7,6,3∑m , 写成最大项之积的形式结果为)10,9,8,5,4,2,1,0(∏M 。 5. (33.33)10 =(100001.0101 )2 =( 41.2 )8 =( 21.5 )16 2—2 证明 1.证明公式()()A BC A B A C +=++成立。 2.证明此公式B A B A A +=+成立。 3.证明此公式)()()()()(C A B A C B C A B A +?+=+?+?+成立。 左边 (由分配律得) 右边 BC A BC B C A BC BA AC AA C A B A +=+++=+++=++)1())((B A A A B B B A B A B A AB AB B A B A AB B A B B A +=+++=+++=++=++=)()()(AC BC A B C A AC B C A C B B A ++=+?+=+ ?+?+=)()()()()(AC BC A B BC A B AC A A ++=+++=

2015数字逻辑复习题

数字逻辑复习提要 一、选择题 1.若ABCDEFGH 为最小项,则它有逻辑相邻项个数为( A ) A. 8 B. 82 C. 28 D. 16 2.如果编码0100表示十进制数4,则此码不可能是(B ) A. 8421BCD 码 B. 5211BCD 码 C. 2421BCD 码 D. 余3循环码 3.构成移位寄存器不能采用的触发器为( D ) A. R-S 型 B. J-K 型 C. 主从型 D. 同步型 5.以下PLD 中,与、或阵列均可编程的是(C )器件。 A. PROM B. PAL C. PLA D. GAL 6.函数F(A,B,C,D)=∑m(1,3,4,6,8,10),它的卡诺图如右图所示。函数的最简与或表达式F= A 。 A . B . C . D . 7.组合电路是指 B 组合而成的电路。 A .触发器 B .门电路 C .计数器 D .寄存器 8.电路如右图所示,经CP 脉冲作用后,欲使Q n+1 =Q ,则A ,B 输入应为 A 。 A .A=0,B=0 B .A=1,B=1 C .A=0,B=1 D .A=1,B=0 9.一位十进制计数器至少需要 4个触发器。 A .3 B .4 C .5 D . 10 D B A D B A D B A ++D B A D C A C B A ++D C A D B A C B A ++D B A D B A D B A ++

10.n 个触发器构成的扭环计数器中,无效状态有 D 个。 A .n B .2n C .2 n-1 D .2n -2n 11.GAL 器件的与阵列 ,或阵列 D 。 A .固定,可编程 B .可编程,可编程 C .固定,固定 D .可编程,固定 12.下列器件中是 C 现场片。 A .触发器 B .计数器 C .EPROM D .加法器 13.IspLSI 器件中,缩写字母GLB 是指 B 。 A . 全局布线区 B .通用逻辑块 C .输出布线区 D .I/O 单元 14. 在下列逻辑部件中,不属于组合逻辑部件的是D 。 A . 译码器 B .编码器 C .全加器 D .寄存器 15. 八路数据选择器,其地址输入端(选择控制段)有 C 个。 A .8 B .2 C .3 D .4 16. 为将D 触发器转换为T 触发器,下图所示电路虚线框内应是 。 A . 或非门 B . 与非门 C . 异或门 D . 同或门 17.用n 个触发器构成计数器,可得到最大计数摸是 B 。 A .n B .2n C .2 n D .2n-1 18.F(A,B,C) = ∑m(0,1,2,3,4,5,6),则F=(C ) (A)ABC (B)A+B+C (C)__ __ __ C B A ++ (D) __ ____C B A 19.或非门构成的基本RS 触发器,输入端SR 的约束条件是(A ) (A)SR=0 (B)SR=1 (C)1____=+R S (D) 0__ __=+R S 21.在CP 作用下,欲使D 触发器具有Q n+1 =__ n Q 的功能,其D 端应接( D ) (A)1 (B) 0 (C) n Q (D) __n Q

数字逻辑与数字电路复习题

数字逻辑复习题*红色表示知识点说明文字01数制码制和逻辑代数533 多选题34 1.下列BCD码中有权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\AC 2.下列BCD码中无权码有( )。 A.8421BCD B.余3BCD C.5211BCD D.格雷(循环)码 \\BD 3.下列二进制数中是奇数的有( )。 A.00101001111110101 B.00010000110111010 C.10111011111101 D.1000000011110101 \\ACD 4.下列8421BCD码中是偶数的有( )。 A.010********* B.10000110111010 C.011101111110 D.001000111101 \\BC 5.下列十六进制数中是奇数的有( )。 A.37F B.2B8 C.34E D.FF7

\\AD 6.下列十六进制数中是偶数的有( )。 A.37F B.2B8 C.34D D.F3E \\BD 7.比十进制数0.1D大的数是( )。 A.二进制数0.1B B.8421BCD码0.0001 C.八进制数0.1Q D.十六进制数0.1H \\AC 8.比十进制数10D小的数是( )。 A.十六进制数10H B.二进制数10B C.8421BCD码00010000 D.八进制数10Q \\BD 9.5211BCD码的特点是( )。 A.具有逻辑相邻性B.具有奇偶校验特性 C.是一种有权码D.按二进制数进行计数时自动解决了进位问题\\CD 10.余3BCD码的特点是( )。 A.当作二进制码看比等值的8421BCD码多3 B.是一种有权码C.按二进制进行加法时自动解决了进位问题D.具有逻辑相邻性\\AC 11.格雷(循环)码的特点是( )。

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字逻辑考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) 101 0101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C ) A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。

数字逻辑与数字系统设计习题参考答案

数字逻辑与数字系统设计 第1章习题解答 1.3 (1)86 (2)219 (3)106.25 (4)0.6875 1.4 (1)101111 (2)1001000 (3)100001l.11 (4)0.101 1.5 (1)(117)10=(165)8=(1110101)2=(75)16 (2)(3452)10=(6574)8=(1)2=(D7C)16 (3)(23768.6875)10=(56330.54)8=(.1011)2=(5CD8.B)16 (4)(0.625)10=(0.5)8=(0.101)2=(0.A)16 1.6 (1)(117)8=(1001111)2=(79)10 (2)(7456)8=(1)2=(3886)10 (3)(23765.64)8=(10 0111 1111 0101.1101)2=(10229.8125)10 (4)(0.746)8=(0.11111)2=(0.96875)10 1.7 (1) (9A)16=()2=(154)10 (2) (3CF6)16=(111)2=(15606)10 (3) (7FFE.6)16=(.011)2=(32766.375)10 (4) (0.C4)16=(0.110001)2=(0.765625)10 1-8 (1)(125)10=(0001)8421BCD (2)(7342)10=(0)8421BCD (3)(2018.49)10=(00011000.01001001)8421BCD (4)(0.785)10=(0.0)8421BCD 1.9 (1)(106)10=(1101010)2原码=反码=补码=01101010 (2)(-98)10=(-1100010)2 原码= 反码= 补码= (3)(-123)10=(-1111011)2 原码= 反码= 补码= (4)(-0.8125)10=(-0.1101)2 原码=1.1101000 反码=1.0010111 补码=1.0011000 1.10 (1)(104)10=(1101000)2 [1101000]补=01101000 (-97)10=(-1100001)2 [-1100001]补= + 01001111 01101000 + 00000111

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

“数字逻辑”试题复习资料

………密………封………线………以………内………答………题………无………效…… 电子科技大学二零零六至二零零七学年第二学期期末考试 试卷评分基本规则 数字逻辑设计及应用课程考试题中文A卷(120分钟)考试形式:闭卷考试日期2007年7月日课程成绩构成:平时20 分,期中20 分,实验0 分,期末60 分 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑(1)电平或者输入信号连接端上。 2、DAC的功能是将(数字)输入成正比地转换成模拟输出。 512 EPROM可存储一个(9 )输入4输出的真值表。 3、4 4、74X163的RCO输出有效条件是:仅当使能信号(ENT)有效,并且计数器的状态是15。 5、已知二进制原码为 ( 001101) 2 , 问对应的8-bit的补码为 ( 00001101 )2. 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有(B)个。 A. 2 B. 3 C. 4 D. 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A.表达式 B.逻辑图 C.真值表 D.波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A. 状态数目更多 B. 状态数目更少 C. 触发器更多 D. 触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为(D)。 A. 2 B. 3 C. 4 D.5 5、下列各逻辑函数式相等,其中无静态冒险现象的是(D)。 A. F=B’C’+AC+A’B B. F=A’C’+BC+AB’ C. F=A’C’+BC+AB’+A’B D. F=B’C’+AC+A’B+BC+AB’+A’C’

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

数字逻辑复习题

姓名: 学号: 班级: 院(部): 系(教研室)主任签字: 教学院长(主任)签字:

姓名:学号:班级:28.函数F的卡诺图如图所示,其最简与或表达式是【 D 】。 A. D B A D B A F+ =D C A + B.D B A D C A C B A F+ + = C.D C A D B A C B A F+ + = D.D B A D B A D B A F+ + = 29.用四选一数据选择器实现函数Y=0 1 1 A A A A+,应使【 A 】。 A.D0=D2=0,D1=D3=1 B.D0=D2=1,D1=D3=0 C.D0=D1=0,D2=D3=1 D.D0=D1=1,D2=D3=0 30.下图所示的组合逻辑电路,其函数表达式为【 A 】。 A.F AB BD CD =++ B.(0,4,5,7,8,12,13,14,15) F m =∑ C. (1,2,3,6,9,,10,11) F m =∑ D.(0,8,12,14,15) F m =∑ 31.时序电路中不可缺少的部分为【 B 】。 A.组合电路 B.记忆电路 C.同步时钟信号 D.组合电路和记忆电路 32.n个触发器构成的计数器中,有效状态最多有【 D 】个。 A.n B.2n C.2n-1 D. 2n 33.把一个五进制计数器与一个四进制计数器串联可得到【 D 】进制 计数器。 A.4 B.5 C.9 D.20

学号: 班级: A B 等价的逻辑函数为【 A 】。 ∑(0,5)

学号: 班级: 第7 页共8 页第8 页共8 页

姓名: 学号: 班级: 第 9 页 共 8 页 第 10 页 共 8 页 的惟一输出有效电平是【

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

数字逻辑复习题

一、写出二进制数1110001.11对应的八进制、十进制、十六进制和8421BCD 码形式的数值。 (1110001.11)2 = (161.6)8 = (113.75)10 = (71.C)16 =(0001 0001 0011.01110101)8421BCD (6C.8)16=(1101100.1)2 = (154.4)8 = (108.5)10 =(0001 0000 1000. 0101)8421BCD (10011000)8421BCD =(1100010 )2=( 98 )10=( 62 )16。 二、下图所示各电路均由TTL 门组成,已知R on =3.2K Ω,R off =0.91K Ω,试分别写出Y 1-Y 4的逻辑函数表达式。 A B Y 1 2 A B 4K 3 Y 4 Y 1-Y 4的逻辑函数表达式 B A Y =1 D C B A Y ?=2 B A B A Y =??=)1)(0(3 C B A C B A Y +=4

Y 1 Y 2 A B 3 9K Y 4 Y 1-Y 4的逻辑函数表达式 B A 1=Y D C B A Y ?=2 0)1()0(3=+++=B A Y C C 4B A B A Y += A B 1 1 B A + A A B A B A

A B 1 AB B A 1 三、 1.要将一D 触发器转换为JK 触发器,则应令D= n n Q K Q J + 2.上升沿触发的JK 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。 CP Q S D R D J K ↑?+=+CP Q K Q J Q n n n )(1 CP Q S D R D J K 3.上升沿触发的D 触发器输入端波形(D R 为异步清0端,D S 为异步置数端)如下图所示,试画出输出端Q 的工作波形。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字逻辑复习题要点

一、 计算题 1、证明公式C A B A C A AB +=+)( 2、证明公式D B B DA C B D D BC +=++++))(( 3、证明C A C B B A C B A ABC ++=+ 4、证明D B A CD D A BD B A +=+++ 5、证明B A BC B A ABC =++)( 6、证明公式A C C B B A A C C B B A ++=++ 7、用卡诺图化简AB D C D B BC AC F ++++= 8、化简F(A,B,C,D)=∑m (1,3,4,9,11,12,14,15) + ∑d(5,6,7,13) 9、AC BC A D C B AB D C A F ++++=化成最简与非与非式 10、将∑∑+=)7,5,2,0()6,4,1(),,(d C B A Y 化成最简与非与非式 11、将∑∑+=)15,14()1110875320(),,,(d D C B A Y ,,,,,,, 化成最简与非与非式 12、将∑∑+= )15,14,12()1110875320(),,,(d D C B A Y ,,,,,,,化成最简与非与非式 13、分析所示电路逻辑功能。 14、四路数据选择器的选择控制变量01A A 分别接A ,B ,数据输入端3210,,,D D D D 依次接C ,0,0,C ,试分析该电路实现何功能。 15、判断下列函数是否存在冒险,并消除可能出现的冒险 16、分析下图所示计数器为模多少。 17、分析下图所示电路的功能。 2100 -> 10 -> 11 -> 01 -> 00 -> 01 -> 11 -> 10

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

相关主题
文本预览
相关文档 最新文档