当前位置:文档之家› PLC彩灯控制电路

PLC彩灯控制电路

PLC彩灯控制电路
PLC彩灯控制电路

彩灯控制电路

设计目的

1.通过设计实践,进一步了解PLC控制的设计过程,设计要求,应完成的工作及设计方法.

2.熟悉PLC的基本指令及编程技巧.

3.掌握彩灯闪烁PLC控制电路的安装与调试技巧.

实现功能

此电路将实现彩灯的亮暗的控制,

分A、B、C、D四组彩灯,分别如下:

(1)A组亮2S,B、C、D暗

(2)B组亮2S,A、C、D暗

(3)C组亮2S,A、B、D暗

(4)D组亮2S,A、B、C暗

(5)A、C组亮2S,B、D暗

(6)B、D组亮2S,A、C暗

(7)SA闭合,按上述功能反复循环,SA断开,彩灯熄灭。

设备及工具清单

I/O分配表

I/O接线图

梯形指令表:

00000 LD 00000

00001 AND-NOT TIM006 #0010

00003 LD TIM001 00004 TIM0001

#0010

LD TIM002

TIM003

#0010

LD TIM002

TIM004

#0010

LD TIM004

TIM005

#0010

TIM006

#0010

LD 00000

AND-NOT TIM001

LD TIM004

AND-NOT TIM005

OR-LD

OUT 01001

LD TIM001

AND-NOT TIM006 OR-LD

OUT 01002

LD TIM002

AND-NOT TIM003 LD TIM004

AND-NOT TIM005 OR-LD

OUT 01003

LD TIM003

AND-NOT TIM004 LD TIM005

AND-NOT TIM006 OR-LD

OUT 01004

END(01)

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

节日小彩灯控制电路设计

课程设计报告题目:节日小彩灯控制电路设计 课程名称:电子技术课程设计 学生姓名: 学生学号: 年级:2014 专业:通信工程 班级: 指导教师: 电子工程学院制 2016年3月

节日小彩灯控制电路设计 前言 在现代日常生活中,美丽、可爱的小彩灯越来越多的成为人们生活中的装饰品,被用于很多情况中,比如娱乐场所或是用于各式各样的电子玩具等等,不仅能美化环境、渲染气氛,还可以供人们娱乐,下面就开始彩灯控制器电路的设计。 1课程设计的任务与要求 1.1 课程设计的任务 采用555、74HC163和74LS154作为控制器,LED作为彩灯制作十六路循环彩灯。 1.2 课程设计的要求 综合运用已学习过模拟电路和数字电路等知识,阅读相关集成电路芯片资料和相关文献,了解电子电路设计的有关知识,方法和特点,掌握基本的电子电路设计和芯片使用方法。 2节日小彩灯控制电路方案制定 2.1 方案原理 本课程设计由555构成多谐振荡器来产生方波脉冲,让74LS191加减计数器计数,74LS154来进行译码,使得LED灯的亮灭。 2.2 节日小彩灯控制电路设计设计的技术方案

图1 控制电路设计流程图 3 节日小彩灯控制电路设计方案实施 3.1 单元模块功能及电路设计 (1)555时序电路 在这次课程设计中,555定时器用来产生脉冲信号。因此把555定时器接成多谐震荡器。R2、R3、C1.C2为定时元件。 图2 555多谐振荡器 (2)74LS191计数部分

因为在试验中需要一个16进制的计数器,因此采用74LS191加减计数器。我们可以改变计数器的加减来控制LED亮灭的方向。置数端A、B、C、D分别置0。4脚接地11脚为异步置数控制端,高电平有效,接高电平。14脚接脉冲信号,同555定时器的OUT脚向接。通过单刀双掷开关来控制74LS191计数器的加减。 图3 74LS191计数器 (3)74LS154译码电路与LED显示部分 74LS154为1—16线译码器,有16个输出端,实验中需要的就是十六输出的译码器。四个输入端分别同74LS191计数器的QA、QB、QC、QD相接。通过改变QA、QB、QC、QD与四个输入端的连接方式来控制LED,因为74LS154译码器输出端低电平有效,所以LED显示部分采用共阳极接法,负极分别接在74LS154的输出端上。 4LS154译码电路与LED显示部分的电路图如下:

正文路循环彩灯控制电路的设计

16路循环彩灯设计报告 一、课程设计的目的 1、巩固和加强《数字电子技术》课程的理论知识。 2、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb软件或multisim软件对电路仿真。 4、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 二、任务的描述 用中规模集成电路实现节日彩灯控制电路,主要用计数器、译码器、数据分配器和移位寄存器等芯片集成,本次设计特点是用双色发光二极管,能发出红色和绿色两种色光。有以下四种演示花型: 花型1: 16路彩灯同时亮灭,亮、灭节拍交替进行。 花型2:16路彩灯每次8路灯亮,8路灯灭,且亮、灭相间,交替亮灭。 花型3:16路彩灯先从左至右逐路点亮,到全亮后再从右至左逐路熄灭,循环演示。 花型4:16路彩灯分成左、右8路,左8路从左至右逐路点亮、右8路从右至左逐路点亮,到全亮后,左8路从右至左逐路熄灭,右8路从左至右逐路熄灭,循环演示。 要求彩灯亮、灭一次的时间为2秒,每256秒自动转换一种花型。花型转换的顺序为:花型1、花型2、花型3、花型4,演出过程循环演示。 三、设计任务分析 根据任务要求,可将这次任务分成两部分,一部分是输出部分即数据子系统,可用移位寄存器实现外加一个定时器;另一部分是控制电路部分要用数据选择器。 四、具体设计过程的描述 根据彩灯的亮灭规律,为了便于控制,决定采用移位型系统方案,即用移位寄存器模块的输出驱动彩灯,彩灯亮、灭和花型的转换通过改变移位寄存器的工作方式来实现。16路彩灯需要移位寄存器模块的规模为16位,但为了便于实现花型4的演示花型,将其分为左、右两个8位移位寄存器模块LSR8和RSR8。 由于彩灯亮、灭一次的时间为2秒,所以选择系统时钟CLK的频率为0.5Hz,使亮灭节拍与系统时钟周期相同。此时,256秒花型转换周期可以用一个模128的计数器对CLK脉冲计数来方便地实现定时,定时器模块取名为T256S。 将整个系统分为数据子系统和控制子系统,根据它们各自的不同功能划分,上述两个8位移位寄存器模块LSR8、RSR8和256秒定时器模块T256S显然属于数据子系统,实现数据子系统操作控制功能的部分即为控制子系统,控制器模块取名为CONTR。

数字电子技术课程设计彩灯控制器的设计

课程设计说明书课程名称:数字电子技术课程设计 题目:彩灯控制器的设计 学生姓名: 专业:电子信息工程 班级:电信09-1 学号: 指导教师:李继凯 日期: 2010 年 12 月 21日

课程设计任务书 一、设计题目 彩灯控制器的设计 二、主要内容及要求 (1)以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。 (2)打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 三、进度安排 1.老师给出选题内容,课程设计的相关要求,指导时间及任务完成期限。 2. 复习数子电子技术基础的内容,扎实基础。 3.去图书馆和网上查找相关资料,并且构思整个设计思路。 4.选择适当的芯片组合电路,设计各个部分的电路图,并用仿真软件设计,改善电路图。 5.根据电路的原理写出设计方案。 6.设计方案的检查,修正,改进,按要求打印方案。 四、总评成绩 指导教师 学生签名

彩灯控制器的设计 一、设计任务与要求 1、以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、1、 2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。 2、打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 二、方案设计与论证 彩灯是一束束用导线连接起来的并联灯泡,当接通电源后,彩灯就会工作,但里面可能是由编程或非编程的电路控制灯泡的运作的,譬如实现音乐彩灯、闪烁、循环、时控等功能。 数列的产生可以通过计数器和逻辑门实现,而循环则需要用到时序电路控制,如触发器等,而最后可以用逻辑门把几个输出接到同一个数码管。 方案一: 选择用JK触发器构成两位二进制计数器控制四种循环 用十进制计数器产生序列,采用具有异步清零和异步置数功能的74192(双时钟十进制同步可逆计数器)来实现包括0-9的自然数列、1-9的奇数数列、0-8的偶数数列和音乐数列的二进制计数。 再通过逻辑门电路将序列输出,包括与门、或门、非门、与非门、或非门、异或门等。 方案二: 异步二—五—十进制加法计数器的74LS160和74LS139来实现包括0-9的自然数列、1-9的奇数数列、0-8的偶数数列和音乐数列的二进制。 采用555定时器构成的多谐振荡器、七段数码管、电阻、75LS139、电容等来控制四种循环。 方案论证:

彩灯控制器的设计

彩灯控制器的设计 一、设计任务与要求 1、以半导体数码管作为控制器的显示器,它能自动地依次显示出数字0、1、 2、 3、 4、 5、 6、 7、 8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后又依次显示出自然数列、奇数列、偶数列和音乐符号数列……如此周而复始,不断循环。 2、打开电源时,控制器可自动清零,从接通电源时刻起,数码管最先显示出自然数列的0,再显示出1,然后按上述规律变化。 二、方案设计与论证 设计要求总的电路能够实现以此输出自然数列、奇数数列、偶数数列和音乐数列,而且还要求能够实现上述顺序的循环,总电路主要可以分成三个部分。 第一部分就是自然、奇数、偶数和音乐四个数列电路。这里使用的只要就是计数器,计数器在时序电路中应用的很广泛,它不仅可以用于对脉冲进行计数,还可用于分频,定时,产生节拍脉冲以及其他时序信号。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 第二部分是将上述四个独立的数列电路通过或门将计数器的输出端通过一定方式连接整合成一个大的计数电路,即只用一片数码管就能实现上述四个数列电路的显示输出。 最后还有一个部分就是循环电路。设计内容要求按照先自然序列然后到奇数序列再到偶数序列最后是音乐序列这样的顺序周而复始的循环。为了实现这个循环输出的功能,可以用移位寄存器或者是译码器的输出端来控制四个数列电路控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。 方案一、利用移位寄存器来实现循环电路 电路图如下

图1用74LS194实现的循环电路 这个电路图实现循环主要是依靠74LS194的移位功能来完成的。先让开关SW1拨至与电源相接,就是接入高电平,这样移位寄存器有了脉冲信号之后就可以实现置数的功能,四个输出端为1000,再将开关SW1拨至与地相接也就是接入低电平,这时寄存器就可以实现移位的操作了,然后通过脉冲信号的触发下,寄存器的输出就可以从1000→0100→0010→0001,这样依次循环了。然后四个输出端用来控制计数器的信号控制端就可以控制序列输出了。 循环电路的设计采用74LS194移位寄存器,通过74LS194移位寄存器的四个输出端子分别控制四个计数器工作,74LS194的功能表和原理图分别如下表和图所示。 输入输出 清零CLR 控制信号串行输入时钟 CLK 工作状态S1 S0 右移左移 0 ×××××0 1 0 0 ×××保持

彩灯控制电路设计原理(课程设计)

彩 灯 控 制 器 的 设 计 专业:YYYYYYYYYYYYY 学号:YYYYYYYYYYYYy 姓名:YYY

目录 一、实验目的 (3) 二、设计题目与思路 (3) 三、总体方案的设计与选择 (3) 1、总体方案的设计 (3) 2、总体方案的选择 (4) 四、单元电路的设计 (5) 1、花型演示电路 (5) 2、花型控制信号电路 (5) 3、交替节拍控制电路 (6) 4、时钟信号电路 (7) 五、总体电路图(见附页) (7) 六、使用元件 (9) 七、电路组装、调试过程中遇到的问题及解决办法 (9) 八、分析与心得 (10)

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。 3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。 4. 培养认真严谨的工作作风和实事求是的工作态度。 二、设计题目与思路 本次数字电路课程设计我选择的题目是“多路彩灯控制器”。 这个系统的主要功能是: 1.自动控制多路彩灯按预设的花型进行变换; 2、花型种类不少于三种,花型自拟; 3.分别用快慢两种节拍实现花型变换。 4.选择:用可编辑逻辑器件实现。 三、总体方案的设计与选择 1.总体方案的设计 经过分析问题及初步的整体思考,拟定以下二种方案: 方案一:总体电路共分三大块。第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。 主体框图如下:

方案二:在方案一的基础上将整体电路分为四块。第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。 主体框图如下: 2.总体方案的选择 方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。如此设计,其优点在于:设计思想比较简单。元件种类使用少,且都较熟悉易于组装电路。缺点则是:中间单元电路连线过于繁多,容易出错。且可能出现线与线关系。要避免这些,则势必造成门电路使用过多。导致电路不稳定,抗干扰能力下降。

音乐彩灯控制电路设计

模拟电子技术课程设计报告书 课题名称 姓 名 学 号 院、系、部 专 业 指导教师 年 月日 ※※※※※※※※※ ※※ ※ ※ ※※ ※※※※※ ※※※※ 2011级模拟电子技术 课程设计

目录 1. 绪论 (2) 1.1设计要求 (2) 2. 音乐彩灯控制器设计 (2) 2.1设计思路 (2) 2.2音乐大小控制彩灯的设计及原理 (3) 2.3音乐大小控制彩灯的电路实现 (3) 2.3.1电源电路设计 (3) 2.3.2音乐大小控制电路 (4) 2.4音乐节奏控制电路 (4) 2.4.1音乐节奏控制原理 (4) 2.5总电路图 (5) 3. 设计总结 (5) 参考文献 (6)

1.绪论 音乐彩灯控制器是用音乐信号控制多组颜色的彩灯,利用其亮度变化反映音乐信号的强弱。从而使灯的变化规律与音频信号的规律及电平大小相对应,是一种将听信号转换为视信号的装置.用来调节听众欣赏音乐时候的气氛和情绪。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。但现在市面上的音乐彩灯只是按照一定的方式闪烁,让人们感觉到十分的粗糙无味,更没有声音那样用震撼力,音乐彩灯的出现让我们既有了听觉上的享受,更有了精神上的享受。但现在市面上的音乐彩灯只是按照音乐的一种方式闪烁,和音乐没多大关系,根本不能称为音乐彩灯。 本设计是一个音乐彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化,本电路的最大优点是可以实现音乐以三种方式控制彩灯的闪亮。实现了音乐大小、节奏的控制。 1.1设计要求 设计一种组合式彩灯控制电路,该电路没由两路不同控制方法的彩灯组成,彩灯可采用不同颜色的发光二极管组成不同的图案来实现。 (1)第一路按话筒输出信号的音量的强弱(信号幅度的大小)控制彩灯。音强时,彩灯的亮度加大,反之,亮度减弱。 (2)第二路按话筒输出信号的音调的高低(信号频率的大小)控制彩灯。低音(输入信号频率低于400Hz)时,某一部分彩灯点亮;高音时(输入信号频率高于600Hz)时,另一部分彩灯点亮。 2.音乐彩灯控制器设计 2.1设计思路 音频在电信号中表现为多个正弦波叠加而形成。音乐的大小就表现为是音乐的强弱起伏,它在音频信号中表现为正弦波的波峰和波谷,所以在他达到波峰时说明他的音量大。在波谷是音量就小,所就需要一个传感器—压片陶瓷B(FT-27或HTD27A-1),接收音乐的音频信号,经过放大电路放大后,用于驱动电路来使彩灯发光。音乐的节奏往往是由乐队的鼓点来体现,实质上是具有一定时间间隔的脉冲信号。根据设计要求,彩灯要随着节奏闪亮,需要一个可调的多谐震荡电路产生脉冲信号,将脉冲信号输入放大电路,放大后驱动彩灯随着脉冲的频率变化而变化闪亮。

数电综合实验——彩灯控制器的设计与调试

数电综合实验——彩灯控制器的设计与调试 一、实验目的 1.学会分析、设计和测试用555定时器构成的多谐振荡器。 2.熟悉移位寄存器和中规模集成计数器的工作原理。 3.利用移位寄存器和计数器设计彩灯控制电路,实现不同的闪烁效果。 二、彩灯控制器设计简介 利用移位寄存器和计数器等设计一彩灯控制电路,改变电路的不同工作状态,控制彩灯变幻出不同的闪烁效果。电路实用,也可以通过计算机仿真直观地看到循环彩灯的控制效果,综合运用所学数字电路知识,学会设计和调试方法, 从而产生浓厚兴趣。如果稍微改动控制电路,可以更加完善,完成基于移位寄存器的彩灯控制器设计。 在现代生活中,彩灯作为一种装饰,既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽,用在舞台上增强晚会灯光效果,利用控制电路可使彩灯(例如霓虹灯)按一定的规律不断的改变状态,不仅可以获得良好的观赏效果,而且可以省电(与全部彩灯始终全亮相比)。因此,彩灯控制电路应用越来越丰富我们的生活,成为我们生活不可缺少的一部分。本课题是利用四位双向移位寄存器为核心元件实现彩灯的循环控制。 2.1设计思路 首先,利用555定时器与外部的阻容元件构成脉冲产生电路,再由计数器74160实现计时的功能,为脉冲分配器做好准备。再由移位寄存器74LS194构成编码发生电路,由于移位寄存器74LS194上升沿有效,通过对输入端置数,加上脉冲的驱动来控制彩灯的闪烁,从而使彩灯按照我们的要求变化。整个流程是由控制电路,编码发生电路和输出电路等组成。此综合实验讲述了芯片计数器74LS160,555定时器,移位寄存器74LS194的基本原理及应用。 2.2 基本工作原理 脉冲产生与整形电路555定时器产生脉冲驱动,再由十进制同步计数器74LS160,编码发生电路74LS194控制彩灯变化。因此,通过控制74LS194的输出就可以实现我们想要的彩灯循环变化。 2.3

彩灯控制器电路设计实验报告

内蒙古师范大学计算机与信息工程学院《数字系统综合课程设计》报告 设计题目彩灯控制器电路设计 指导教师戚桂美职称讲师 姓名 学号 日期2013年7月2日

彩灯控制器电路设计 XXXX学院 XXX级XXX班 XXX 指导教师戚桂美讲师 摘要本文介绍了8路彩灯控制器功能的实现,主要通过计数器74LS161和移位寄存器74LS194两个芯片,同时借助了04芯片共同实现花型变化这个功能。 关键词74LS161;74LS194;彩灯控制器电路 1 设计任务及主要技术指标和要求 设计一个能够控制八路彩灯的逻辑电路。要求彩灯组成二种花型,花型Ⅰ——由中间到两边对称性依次亮,全亮后仍由中间向两边依次灭,花型Ⅱ——8路灯分两半,从左自右顺次亮,再顺次灭。并且要求两种花型交替出现。 2引言 8 路彩灯控制器主要通过 74LS161计数器送数使得74LS194移位寄存器左移右移,使得在输出端控制灯亮灭形成花型。 3工作原理 电路利用移位寄存器 74LS194 的不同状态的改变,用74LS161控制串行输入。8个并行输出端接到彩灯上,当双向移位寄存器74LS194的控制端 S1=0,S0=1时,进行右移,S1=1,S0=0时,进行左移;十六位计数器74LS161可以从0000到1111进行计数。可以利用它的Q3对74LS194进行控制。 74LS161是四位二进制同步加数器,除了有二进制加法计数功能外,还具有异步清零、同步并行置数、保持等功能,如表一所示 表一74LS161功能表 从图一可知当CR、LD、P、T等于1时74LS161实行计数功能,记录16个状态。 74LS194 是一个4 位双向移位寄存器,它具有左移,右移,保持,清零等

简易彩灯控制电路课程设计报告书

目录 摘要 (1) 1 设计要求 (1) 1.1 设计课题 (1) 1.2 主要内容 (1) 1.3 技术要求 (1) 2 系统组成及工作原理 (2) 2.1 系统组成框图 (2) 2.2 工作原理分析 (2) 3 电路方案设计 (4) 3.1 电路图设计 (4) 4 单元电路设计 (5) 4.1 时钟脉冲产生电路 (5) 4.2 分频电路的工作原理 (6) 4.3 状态机电路 (7) 4.4 移位输出电路 (8) 4.5 桥式整流电路 (8) 4.6 发光二级管 (9) 结束语 (11) 致谢 (12) 参考文献 (13)

附录 (14) 附录1:元器件清单 (14) 附录2:输出状态编码 (14) 附录3:元件引脚图 (15) 附录4:元件功能表 (16) 附录5:总设计图 (18)

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双 D 触发器组成; (4)移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于 74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

路彩灯控制电路设计

《8路彩灯控制电路设计》课程设计报告 专业:XXXXXXXXXXXXXXX 班级:XXXXXXX 姓名:XXXXXXX 学号:XXXXXXXXXXXXX 同组成员:XXXXXXXXX 指导教师:XXXXXXXX 2015年1月8日

目录 1.课程设计目的 (1) 2.课程设计题目描述和要求 (1) 3. 课程设计内容 (1) .器材选择 (1) . 课程设计思路 (2) 4. 课程设计原理 (2) .时钟信号电路 (2) . 花型变换 (3) 5. 电路仿真图及结果分析 (4) . 电路仿真图 (4) . 电路仿真结果分析 (4) 6.实作方案和结果分析 (5) . 电路实连图 (5) . 电路实连过程及结果分析 (7) 7.总结体会 (7) 8.附件 (8)

1.课程设计目的 进一步掌握数字电路课程所学的理论知识并应用于实际中。 了解数字电路设计的基本思想和方法。 掌握电路板的焊接技术,锻炼实际动手操作能力。 2.课程设计题目描述和要求 设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求实现如下功能: 接通电源,电路开始工作,LED灯闪烁; LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式; (选做内容)闪烁时实现快慢两种节拍的变换。 设计可选用的元器件:74ls194、74LS161、LED发光二极管、74ls20、74LS04、电阻、电容、555。 3.课程设计内容 器材选择 在proteus仿真中,我用了两个74LS161,两个74LS194,一个555,三个74LS04,八个LED红灯,两个电容(、),两个电阻(47K)。 1.集成四位二进制加法计数器74LS161的逻辑符号与功能表

8路彩灯控制电路设计

《8路彩灯控制电路设计》 课程设计报告 专业: 班级: 姓名: 学号: 指导教师: 2014年 6 月25 日

目录 1.课程设计的目的 (1) 2.课程设计题目描述和要求 (1) 3.电路设计 (1) 4.设计过程中遇到的问题及解决办法 (7) 5.结论与体会 (8) 附表参考书目 (9)

1. 课程设计目的 1.熟悉仿真软件Multisim ,使用软件经行电路仿真; 2.掌握数字电路课程学习的常见芯片的功能,熟悉其工作原理; 3.了解数字系统设计的基本思想和方法,学会科学的分析问题、解决问题; 4.增强学生动手能力,增加学生理论和实践结合的机会。 2. 课程设计题目描述和要求 设计题目 八路彩灯控制电路设计,即设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁 设计要求 1.接通电源,电路开始工作,LED灯闪烁; 2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式3. 电路设计 3.1闪烁花型设计 花型Ⅰ:8路彩灯分成两半从右到左依次点亮,全亮后再从右到左依次熄灭。 花型Ⅱ:8路彩灯由中间到两边对称的依次点亮,全亮后仍由中间到两边对称熄灭。 花型Ⅲ:8路彩灯分成两半从左到右依次点亮,全亮后再从左到右依次熄灭。 花型Ⅳ:8路彩灯由两边到中间对称的依次点亮,全亮后仍由两边到中间对称熄灭。 花型状态编码表如表3.1.1所示。

每种花型连续循环两次,四种花型轮流交替,为了更好的显示结果本文用指示灯模拟彩灯。 3.2设计原理 3.2.1系统的逻辑功能分析 彩灯控制电路的原理框图如图3.2.1所示,它主要由控制器、编码器和脉冲信号发生器等部分组成。

彩灯循环控制电路

课程设计(论文) 题目名称彩灯控制器 课程名称电子技术课程设计 学生姓名刘标 学号0941201062 系、专业电气工程系09电力一班 指导教师陈源 2011年12月9日

邵阳学院课程设计(论文)任务书 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

指导教师(签字):学生(签字):刘标

邵阳学院课程设计(论文)评阅表 学生姓名刘标学号0941201062 系电气工程系专业班级09级电力一班 题目名称彩灯控制器课程名称电子技术课程设计 一、学生自我总结 二、指导教师评定 注:1、本表是学生课程设计(论文)成绩评定的依据,装订在设计说明书(或论文)的“任务书”页后面; 2、表中的“评分项目”及“权重”根据各系的考核细则和评分标准确定。

目录 摘要 (1) 1.选题背景 (2) 1.1 设计要求 (2) 1.2 指导思想 (2) 2.方案论证 (3) 2.1 方案说明 (3) 2.2 方案原理 (3) 3.电路的设计与分析 (4) 3.1 电路的总体设计 (4) 3.2 电路的原理框图 (4) 3.3 单元电路的设计与分析 (5) 3.3.1 多谐震荡电路的设计与分析 (5) 3.3.2 计数器电路的设计与分析 (6) 3.3.3 组合逻辑电路的设计与分析 (7) 3.3.4 重要的元件的分析 (7) 4.电路的安装与调试 (10) 5.设计的总结与体会 (11) 附录:元器件清单 (12) 参考文献 (13)

摘要 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 每逢节日晚上都能看到街道旁都挂起五彩缤纷彩灯,给人一种节日的气氛。然而,彩灯作为我们生活中的一部分,我们既要知道其然,还要知其之所以然。因此,我们有必要去研究彩灯的工作原理。 数字电子技术课程设计也是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。 关键字:彩灯控制器、循环控制、电子电路仿真

彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 专业: 班级: 姓名: 学号: 指导教师: 2013 年 3 月10 日 1.课程设计目的 通过数字电子线路的设计、安装和调试,初步掌握数字电子线路单元电路的分 第1页共8页

析与设计方法。利用计数器、555定时器等设计LED彩灯控制电路。要求有原理电路、EDA仿真和实际电路。巩固所学理论,提高动手能力和综合设计能力。为 今后工作奠定坚实的基础。 2. 课程设计要求 2.1 彩灯能够自动循环闪烁。 2.2 彩灯循环显示且频率快慢可调。 2.3 该控制电路具有8 路以上输出。 2.4 改控制电路具有暂停和选择变换彩灯循环亮灯个数的功能。 3. 电路组成框图 时 钟信号产生电路计 数 驱 动 电 路 译 码 显 示 电 路 4. 元器件清单 器材数量 LM555CM 1 74HC163D_6V 1 74HC154DW_6V 1 彩色发光二极管13(多于三种颜色) 开关 2 10k 可变电阻 1 5v 电压源 1 1 k 电阻 2 320 电阻 1 1 uf 电容 1 1 nf 电容 1 三输入与门 2 第2 页共8 页

或非门 1 非门 1 导线若干 5. 各功能块电路图 2.5 时钟信号产生电路 时钟脉冲产生电路由555定时器和外接元件R1、R2、R3、C1和C2构成多谐振荡器, 脚THR与脚TRI直接相连。电路没有稳态, 仅存在2个暂稳态, 电路亦不需要外加触发信号。利用电源通过R1、R2向C1充电, 以及C1通过R2、R3向放电端DIS放电, 使电路产生振荡。输出矩形波, 为计数器提供脉冲源。 2.6 计数驱动电路 本实验的彩灯循环闪烁电路选用了比较典型的同步4位二进制计数器 74HCl63D加法计数器。74HCl63D 具有同步清零和同步置数的功能,在反馈出加 上一个控制开关,实现了彩灯亮灯多少模式的选择。为了实现13或10盏灯循环闪烁, 本实验采用同步反馈清零法获得13进制或10进制计数器。如图所示, 当 Q D Q C Q B Q A输出为1 100或1001时, U5A输出1个低电平到LOAD, 将计数器清零, 回到0000状态。 第3 页共8 页

简易彩灯控制器电路课程设计1

目录 摘要 (2) 第一章系统总体方案设计 (3) 第一节系统组成框图 (3) 第二节工作原理 (3) 第二章元器件选择 (6) 第一节元器件清单 (6) 第二节 74LS194 (7) 第三节 74LS161 (8) 第四节 D触发器 (9) 第五节 555时基电路 (11) 第六节发光二极管 (13) 第三章模块介绍 (15) 第一节电源电路 (15) 第二节时钟脉冲产生电路 (15) 第三节分频电路的工作原理 (16) 第四节状态机电路 (17) 第五节移位输出电路 (18) 总结 (19) 参考文献 (20) 附录 (21)

摘要 随着人们生活环境的不断改善和美化,在许多场合可以看到彩灯。在现实生活中,大家都见过霓虹灯,它们闪烁着不同颜色的光,变换这不同的花型,在夜晚很是好看。它是一种很好的照明娱乐工具。而彩灯控制器在我们日常生活中有重要的运用,如广告牌的设计和节日彩灯的设计都能用到它的原理。本次设计的是简易彩灯控制器电路,采用电子元件制作的一个简易的具有四种变换花型的彩灯,但这是进行复杂设计的基础。 首先要分析设计要求,(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。通过分析问题和初步整体思考,设计如下方案:整体功能的实现需要以下四个模块来实现:它们是:时钟振荡电路,分频电路,状态机电路,移位显示电路。基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

第一章系统总体方案设计 第一节系统组成框图 把四花型彩光灯设计分为几个独立的功能模块进行设计,每个模块完成特定的功能,再它们有机的组织起来构成一个系统完成彩灯控制器的设计。系统可由四个模块组成。它们分别为:时钟振荡电路,555 定时器构成多谐振荡器;分频电路,由四位二进制计数器 74LS161组成,为D 触发器提供时钟;状态机电路,由双 D 触发器组成;移位显示电路,由双向移位寄存器 74194 和发光二极管组成,实现花型显示。 如下图: 图1-1 系统组成框图 第二节工作原理 由555定时器构成的时钟振荡电路产生固定频率的脉冲,一方面

彩灯控制器的设计

彩灯控制器的设计

图1用74LS194实现的循环电路这个电路图实现循环主要是依靠74LS194的移位功能来完成的。先让开关SW1拨至与电源相接,就是接入高电平,这样移位寄存器有了脉冲信号之后就可以实现置数的功能,四个输出端为1000,再将开关SW1拨至与地相接也就是接入低电平,这时寄存器就可以实现移位的操作了,然后通过脉冲信号的触发下,寄存器的输出就可以从1000→0100→0010→0001,这样依次循环了。然后四个输出端用来控制计数器的信号控制端就可以控制序列输出了。 循环电路的设计采用74LS194移位寄存器,通过74LS194移位寄存器的四个输出端子分别控制四个计数器工作,74LS194的功能表和原理图分别如下表和图所示。 输入输出 清零C LR 控制信号串行输入时钟 CL K 工作 状态S1 S0 右移左移

0 ×××××0 1 0 0 ×××保持 1 0 1 0(1)×↑右移 1 1 0 ×0(1)↑左移 1 1 1 ××↑置数 方案二: 要让四个数列依次循环则采用一个2线--4线译码器和一个四进制计数器。用译码器的输出依次去控制芯片清零端,在通过一个四进制计数器去控制译码器输入,使其在四个输出间不断循环,而计数器的时钟脉冲则可通过每个芯片的进位端经过一四输入或门输出来控制。这个部分主要用到的是芯片74HC390计数器和74HC139译码管,它们的功能表如下表所示。 表2 74HC390的功能表输入输出 R 01R0 2 S91S92CP A C P B Q D Q C Q B Q A 1 1 0 ×××0 0 0 0 1 1 ×0 ××0 0 0 0 0 1 1 ×× 1 0 0 1 0 1 1 ×× 1 0 0 1 R01 R02=0 S91 S92=0 CP 0 二进制计数 0 CP 五进制计数 CP Q A8421码十进制计数 Q D CP 5421码十进制计数 表3 74HC139的功能表输入输出

相关主题
文本预览
相关文档 最新文档