当前位置:文档之家› 组合逻辑电路测试卷

组合逻辑电路测试卷

组合逻辑电路测试卷
组合逻辑电路测试卷

组合逻辑电路的设计与测试

四、实验内容 1、设计用与非门及用异或门、与门组成的半加器电路。 要求按本文所述的设计步骤进行,直到测试电路逻辑功能符合设计要求为止。 解: 逻辑表达式:S= A 2、设计一个一位全加器,要求用异或门、与门、或门组成。 解: i C B A AB )(C C B A S o i ⊕+=⊕⊕= A B 0 00 11 01 1 0 01 01 01 1 S C A B S C 74LS08 74LS86 74LS08 A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i CC4085

A B C i 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 0 01 01 00 11 00 10 11 1 S C o A B C i 5 6 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C 1i 1-i i i i i A C B B A C -++=i C A i C B i 4、设计一个对两个两位无符号的二进制数进行比较的电路;根据第一个数是否大于、等于、小于第二个数,使相应的三个输出端中的一个输出为“1”,要求用与门、与非门及或非门实现。

解: A 0 B 0 A 1 B 1 B 74LS04六反相器入与门(1) 入与门(2) 五、实验预习要求 1、根据实验任务要求设计组合电路,并根据所给的标准器件画出逻辑图。 2、如何用最简单的方法验证“与或非”门的逻辑功能是否完好? 3、“与或非”门中,当某一组与端不用时,应作如何处理? 六、实验报告 1、列写实验任务的设计过程,画出设计的电路图。 2、对所设计的电路进行实验测试,记录测试结果。 1、组合电路设计体会。 A 0B 0A 0B 0A 0=B 0 A 1=A 1= B 1A 1=B 1010× A < B 001×A 1>F AB A 0A 1输出输入F A>B = (A 1>B 1) + (A 1=B 1)(A 0>B 0)F A=B =(A 1=B 1)(A 0=B 0) F A

实验一、(仿真)组合逻辑电路的设计与测试--振宇

实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 1、查阅与实验相关芯片资料,从.21icsearch.下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片?考虑仪器、供电电源等各种误差,如何能测量准确? 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。手册中提供的电源电流和功耗值是指整个器件总的电源电流和总的功耗。 引脚图: 7400芯片物理与逻辑引脚图:

数电实验报告 实验二 组合逻辑电路的设计

实验二组合逻辑电路的设计 一、实验目的 1.掌握组合逻辑电路的设计方法及功能测试方法。 2.熟悉组合电路的特点。 二、实验仪器及材料 a) TDS-4数电实验箱、双踪示波器、数字万用表。 b) 参考元件:74LS86、74LS00。 三、预习要求及思考题 1.预习要求: 1)所用中规模集成组件的功能、外部引线排列及使用方法。 2) 组合逻辑电路的功能特点和结构特点. 3) 中规模集成组件一般分析及设计方法. 4)用multisim软件对实验进行仿真并分析实验是否成功。 2.思考题 在进行组合逻辑电路设计时,什么是最佳设计方案? 四、实验原理 1.本实验所用到的集成电路的引脚功能图见附录 2.用集成电路进行组合逻辑电路设计的一般步骤是: 1)根据设计要求,定义输入逻辑变量和输出逻辑变量,然后列出真值表; 2)利用卡络图或公式法得出最简逻辑表达式,并根据设计要求所指定的门电路或选定的门电路,将最简逻辑表达式变换为与所指定门电路相应的形式; 3)画出逻辑图; 4)用逻辑门或组件构成实际电路,最后测试验证其逻辑功能。 五、实验内容 1.用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 1)列出真值表,如下表2-1。其中A i、B i、C i分别为一个加数、另一个加数、低位向本位的进位;S i、C i+1分别为本位和、本位向高位的进位。 2)由表2-1全加器真值表写出函数表达式。

3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 4)画出逻辑电路图如图2-1,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将A i、B i、C i接逻辑开关,输出Si、Ci+1接发光二极管。改变输入信 号的状态验证真值表。 2.在一个射击游戏中,每人可打三枪,一枪打鸟(A),一枪打鸡(B),一枪打兔子(C)。 规则是:打中两枪并且其中有一枪必须是打中鸟者得奖(Z)。试用与非门设计判断得奖的电路。(请按照设计步骤独立完成之) 五、实验报告要求: 1.画出实验电路连线示意图,整理实验数据,分析实验结果与理论值是否相等。 2.设计判断得奖电路时需写出真值表及得到相应输出表达式以及逻辑电路图。 3.总结中规模集成电路的使用方法及功能。

实验一 组合逻辑电路的设计与测试教学提纲

实验一组合逻辑电路的设计与测试 一、实验原理 根据设计任务的要求建立输入、输出变量,并列出真值表;然后用逻辑电路代数或卡诺图化简法求出简化的逻辑表达式并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,验证设计的正确性。 二、实验目的 掌握组合逻辑电路的设计与测试方法。 三、实验设备与器件 1、+5V直流电源 2、逻辑开关 3、逻辑电平显示器 4、直流数字电压表 5、CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001(74LS02) 四、实验内容 1、设计用与非门及异或门、与门组成的半加器电路。 (1)真值表如下表

(2) 简化逻辑表达式为 S⊕ = A = + B A B A B C= AB (3)逻辑电路图如下 2、设计一个一位全加器,要求用异或门、与门、或门实现。 用四2输入异或门(74LS86)和四2输入与非门(74LS00)设计一个一位全加器。 (1)列出真值表如下表。其中Ai、Bi、Ci分别为一个加数、另一个加数、低位向本位的进位;Si、Ci+1分别为本位和、本位向高位的进位。

1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1 1 1 1 1 (2)由全加器真值表写出函数表达式。 (3)将上面两逻辑表达式转换为能用四2输入异或门(74LS86)和四2输入与非门(74LS00)实现的表达式。 (4)画出逻辑电路图如下图,并在图中标明芯片引脚号。按图选择需要的集成块及门电路连线,将Ai 、Bi 、Ci 接逻辑开关,输出Si 、Ci+1接发光二极管。改变输入信号的状态验证真值表。 3、设计一位全加器,要求用与或非门实现。 解: 11i 1-i i i 1-i i i i B A C B A C B A S --+++=i i i i i C B A C Θ

组合逻辑电路的设计与测试

数字电子技术 实验报告 (大数据学院)实验名称:实验二:组合逻辑电路的设计与测试专业班级: 学生姓名: 学生学号: 指导教师:

实 验 地 点: 实 验 日 期: 2019.12.7 实验组成员姓名: 贵州理工学院实验报告 实验项目名 称 组合逻辑电路的设计与测试 实验目的 掌握组合逻辑电路的设计与测试方法 实验原 理 1、 使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如图2-1所示。 图2-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或 卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。 根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、 组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表2-1所示,再填入卡诺图表2-2中。 表1-1

D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表2-2 DA BC 00 01 11 10 00 01 1 11 1 1 1 10 1 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z =ABC +BCD +ACD +ABD =ABC ACD BCD ABC ??? 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2-2所示。 图2-2 表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P 插座,按照集成块定位标记插好集成块CC4012。 按图2-2接线,输入端A 、B 、C 、D 接至逻辑开关输出插口,输出端Z 接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表2-1进行比较,验证所设计的逻辑电路是否符合要求。 3. 半加器实现原理

实验一 组合逻辑电路的设计

实验一 组合逻辑电路的设计 一、实验目的: 1、 掌握组合逻辑电路的设计方法。 2、 掌握组合逻辑电路的静态测试方法。 3、 加深FPGA 设计的过程,并比较原理图输入和文本输入的优劣。 4、 理解“毛刺”产生的原因及如何消除其影响。 5、 理解组合逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、组合逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 通常组合逻辑电路可以用图1.1所示结构来描述。其中,X0、X1、…、Xn 为输入信号, L0、L1、…、Lm 为输出信号。输入和输出之间的逻辑函数关系可用式1.1表示: 2、组合逻辑电路的设计方法 组合逻辑电路的设计任务是根据给定的逻辑功能,求出可实现该逻辑功能的最合理组 合电路。理解组合逻辑电路的设计概念应该分两个层次:(1)设计的电路在功能上是完整的,能够满足所有设计要求;(2)考虑到成本和设计复杂度,设计的电路应该是最简单的,设计最优化是设计人员必须努力达到的目标。 在设计组合逻辑电路时,首先需要对实际问题进行逻辑抽象,列出真值表,建立起逻辑模型;然后利用代数法或卡诺图法简化逻辑函数,找到最简或最合理的函数表达式;根据简化的逻辑函数画出逻辑图,并验证电路的功能完整性。设计过程中还应该考虑到一些实际的工程问题,如被选门电路的驱动能力、扇出系数是否足够,信号传递延时是否合乎要求等。组合电路的基本设计步骤可用图1.2来表示。 3、组合逻辑电路的特点及设计时的注意事项 ①组合逻辑电路的输出具有立即性,即输入发生变化时,输出立即变化。(实际电路中 图 1.1 组合逻辑电路框图 L0=F0(X0,X1,···Xn) · · · Lm=F0(X0,X1,···Xn) (1.1) 图 1.2 组合电路设计步骤示意图图

组合逻辑电路的设计与测试

组合逻辑电路的设计与测试 一、实验目的 掌握组合逻辑电路的设计与测试方法 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计 组合电路的一般步骤如图5-1所示。 图5-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。

由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z=ABC+BCD+ACD+ABD =ABC ? ? ACD ABC? BCD 根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。 图5-2表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012。 按图5-2接线,输入端A、B、C、D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表5-1进行比较,验证所设计的逻辑电路是否符合要求。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、直流数字电压表 3、CC4011×2(74LS00)CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001 (74LS02)

组合逻辑电路单元测试题

组合逻辑电路单元测试题 一、选择填空(20分) 1.若在编码器中有50个编码对象,则要求输出二进制代码位数为( )位。 A. 5 B. 6 C.10 D. 50 2.一个16选1的数据选择器,其地址(选择控制)输入端有( )个。 A. 1 B. 2 C. 4 D. 16 3.一个4选1的数据选择器,其输入端有( )个。 A. 1 B. 2 C. 4 D. 8 4.八路数据分配器,其地址输入端有( )个。 A. 1 B. 2 C. 3 D. 4 5.一个译码器若有100个译码输出端,则译码输入端有( )个。 A. 5 B. 6 C. 7 D. 8 6.用4选1数据选择器实现函数0101A A A A Y +=, 应使( )。 A. D 0=D 2=0, D 1=D 3=1 B. D 0=D 2=1 ,D 1=D 3=0 C. D 0=D 1=0 ,D 2=D 3=1 D. D 0=D 1=0, D 2=D 3=0 7.74LS138是3线-8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出-01234567Y Y Y Y Y Y Y Y 分别应为 。 8.用3线-8线译码器74LS138实现原码输出的8路数据分配器,应S 1= ,=2S ,=3S 。 9.以下电路中,加以适当辅助门电路,( )适于实现单输出组合逻辑电路。 A. 二进制译码器 B. 数据选择器 C. 数值比较器 D. 七段显示译码器 10.组合逻辑电路消除竞争冒险的方法有( )。 A. 修改逻辑设计 B. 在输出端接入滤波电容 C. 后级加缓冲电路 D. 屏蔽输入信号的尖峰干扰 11.在二-十进制译码器中,伪码应做约束项处理( )。 A.对 B.错 12.编码器在任何时刻只能对一个输入信号进行编码( )。 A.对 B.错 13.优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效( )。 A.对 B.错 14.二进制译码器相当于是一个最小项发生器,便于实现组合逻辑电路( )。 A.对 B.错 15.数据选择器和数据分配器的功能正好相反,互为逆过程( )。 A.对 B.错 16.编码和译码是互逆的过程( )。

实验六组合逻辑电路的设计与测试

实验六组合逻辑电路的设计与测试 1.实验目的 (1)掌握组合逻辑电路的设计方法; (2)熟悉基本门电路的使用方法。 (3)通过实验,论证所设计的组合逻辑电路的正确性。 2.实验设备与器材 1)数字逻辑电路实验箱,2)万用表,3)集成芯片74LS00二片。 3.预习要求 (1)熟悉组合逻辑电路的设计方法; (2)根据具体实验任务,进行实验电路的设计,写出设计过程,并根据给定的标准器件画出逻辑电路图,准备实验; (3)使用器件的各管脚排列及使用方法。 4.实验原理 数字电路中,就其结构和工作原理而言可分为两大类,即组合逻辑电路和时序逻辑电路。组合逻辑电路输出状态只决定于同一时刻的各输入状态的组合,与先前状态无关,它的基本单元一般是逻辑门;时序逻辑电路输出状态不仅与输入变量的状态有关,而且还与系统原先的状态有关,它的基本单元一般是触发器。 (1)组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他功能的门电路。设计组合逻辑电路的一般步骤是: 1)根据逻辑要求,列出真值表; 2)从真值表中写出逻辑表达式; 3)化简逻辑表达式至最简,并选用适当的器件; 4)根据选用的器件,画出逻辑电路图。 逻辑化简是组合逻辑设计的关键步骤之一。为了使电路结构简单和使用器件较少,往往要求逻辑表达式尽可能化简。由于实际使用时要考虑电路的工作速度和稳定可靠等因素,在较复杂的电路中,还要求逻辑清晰易懂,所以最简设计不一定是最佳的。但一般来说,在保证速度、稳定可靠与逻辑清楚的前提下,尽量使用最少的器件,以降低成本。 (2)与非门74LS00芯片介绍 与非门74LS00一块芯片内含有4个互相独立的与非门,每个与非门有二个输入端。其逻辑表达式为Y=AB,逻辑符号及引脚排列如图6-1(a)、(b)所示。 (a)逻辑符号(b)引脚排列 图6-1 74LS20逻辑符号及引脚排列 (3)异或运算的逻辑功能 当某种逻辑关系满足:输入相同输出为“0”,输入相异输出为“1”,这种逻辑关系称为“异或”逻辑关系。 (4)半加器的逻辑功能 在加法运算中,只考虑两个加数本身相加,不考虑由低位来的进位,这种加法器称为半加器。5.实验内容 (1)用1片74LS00与非门芯片设计实现两输入变量异或运算的异或门电路 要求:设计逻辑电路,按设计电路连接后,接通电源,验证运算逻辑。输入端接逻辑开关输出插口,以提供“0”与“1”电平信号,开关向上,输出逻辑“1”,向下为逻辑“0”;电路

实验一、(仿真)组合逻辑电路的设计与测试--振宇

@ 实验一、组合逻辑电路的设计与测试 一、实验目的 1、学会查阅数字芯片数据手册,掌握集成芯片的逻辑功能,了解芯片主要参数。 2、熟悉常用仪器如函数发生器,台式数字万用表及数字示波器的使用方法,熟悉电压、电流等参数测量。 3、掌握组合逻辑电路的设计与测试方法。 4、认识竞争冒险现象,加深对竞争冒险现象产生的理解,学会消除竞争冒险。 二、实验仪器 直流稳压电源 、面包板及插线、数字示波器、台式数字万用表、函数信号发生器及相关芯片:74LS00、74LS20、74LS86、74LS04、 74LS02 、74LS08、发光二极管和少量阻容器件。三、数字电路实验步骤 ¥ 1、查阅与实验相关芯片资料,从网站下载芯片数据手册。 2、列表,列出相关标准参数。 3、测试方案设定,画出电路原理图,并用multisim10软件进行功能仿真测试。 如何设计电路实现题设要求的逻辑功能,选择哪款芯片考虑仪器、供电电源等各种误差,如何能测量准确 4、在实验室面包板上搭建系统、调试电路,测试逻辑功能,测量数据,绘制波形,并进行误差分析。 5、按要求完成实验报告 四、实验任务 1、查阅实验过程中所用芯片技术手册,给出相关技术指标和逻辑功能真值表,画出芯片物理与逻辑引脚图。 * 2、验证74LS00的逻辑功能,自行设计电路测试 V OL 、V OH 、 I CCL 、I CCH 等参数。 低电平输出电源电流I CCL 和高电平输出电源电流I CCH 说明: 芯片处于不同的工作状态,电源提供的电流是不同的。以与非门为例,I CCL 是指所有输入端悬空,输出端空载时,芯片输出低电平时电源提供器件的电流。I CCH 是指输出端空载,每个门各有一个以上的输入端接地,其余输入端悬空,芯片输出高电平时电源提供给器件的电流。通常I CCL >I CCH ,它们的大小标志着器件静态功耗的大小。器件的最大功耗为P CCL =V CC I CCL 。

实验二组合逻辑电路的设计与测试.doc

实验二组合逻辑电路的设计与测试 一、实验目的 1、掌握组合逻辑电路的设计方法及功能测试方法。 2、熟悉组合电路的特点。 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计组合电路的一般步骤如 图 2- 1 所示。 图2- 1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出 简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出 逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“ 1”。 设计步骤:根据题意列出真值表如表2-1 所示,再填入卡诺图表2- 2 中。 表 2-1 D 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 A 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 B 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 C 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Z 0 0 0 0 0 0 0 1 0 0 0 1 0 1 1 1 表 2- 2 DA 00 01 11 10 BC 00 0 0 0 0 01 0 0 1 0 11 0 1 1 1 10 0 0 1 0 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z=ABC+ BCD+ ACD+ ABD =ABC BCD ACD ABC 根据逻辑表达式画出用“与非门”构成的逻辑电路如图2- 2 所示。 图 2-2表决电路逻辑图

实验一_组合逻辑电路分析与设计

实验1 组合逻辑电路分析与设计 2016/10/2 姓名: 学号: 班级:15自动化2班

实验内容 (3) 二.设计过程及讨论 (4) 1.真值表 (4) 2.表达式的推导 (5) 3.电路图 (7) 4.实验步骤 (7) 5. PROTEUS软件仿真 (9) 三测试过程及结果讨论 (11) 1.测试数据 (11) 2.分析与讨论 (13) 四思考题 (16)

实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面)74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使 用 ②掌握逻辑电路的设计方法,并且掌握推导 逻辑表达式的方法 ③会根据逻辑表达式来设计电路

1.真值表: 0Q ,1Q ,2Q ,3Q 为输入,0G ,1G ,2G ,3G 为输出 注:画真值表的目的可让我们用卡诺图算出逻辑表达式并进行化简 Q 3 Q 2 Q 1 Q 0 G 3 G 2 G 1 G 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 0 1 1 0 0 1 1 0 0 1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1 0 1 1 1 1 1 0 1 0 0 1 1 1 1 1 1

组合逻辑电路应用

实验7 组合逻辑电路应用 一、实验目的 1.掌握SSI组合逻辑电路的设计流程和方法; 2.掌握SSI组合逻辑电路的分析方法; 3.能用基本的门电路芯片设计出符合要求的电路,并对其功能进行验证; 4.了解排除组合逻辑电路故障的一般方法; 5.学会用Multisim仿真软件辅助设计电路。 二、实验任务(建议学时:2学时) 基本实验任务(利用提供的芯片完成设计,要求设计所用的芯片种类和数量最少) 1.三个开关控制一盏灯。 设计一个三室一厅卫生间照明控制电路,要求分别安装在三个卧室的开关A、B、C都能独立控制灯Y的亮、灭。 2.设计一个四人表决器。 当对表决事件表示同意的人数≥3人时表决有效,指示灯点亮。 3.设计一个用电超载报警电路。 现有三个用电设备,其电功率分别为200W、350W、300W。要求当总用电量超过500W 时报警灯立即点亮。 4.设计一个水泵控制电路。 有一水箱有大小两台水泵M L和M S供水,如图2-1所示。水箱中设置了3个水位检测元件A、B、C。水位低于检测元件时,检测元件给出高电平;水面高于检测元件时,检测元件给出低电平。现要求当水位超过C点时两水泵停止工作;水位低于C点而高于B点时M S 单独工作;水位低于B点而高于A点时M L单独工作;水位低于A点时M L和M S同时工作。 图2-1 扩展实验任务(电类本科生必做,任选一个) 1.设计一个交通灯工作状态监视电路。 路口红、绿、黄三种颜色交通灯分别表示车辆“停止”、“通行”、“缓行”三种行车状态。正常情况下,任何时刻同一方向有且只有一盏灯被点亮,且不能全灭,否则被认为交通灯系统发生故障。一旦系统发生故障,要求点亮“交通灯工作状态”报警灯。(利用提供的芯片完成设计,要求设计所用的芯片种类和数量最少) 2.设计一个4位数字密码锁。 该锁具有ABCD四个输入端和一个开锁控制信号输入端E,开锁代码自定义(如

实验五 组合逻辑电路的设计与测试

实验五组合逻辑电路的设计与测试 一、实验目的 掌握组合逻辑电路的设计与测试方法 二、实验原理 1、使用中、小规模集成电路来设计组合电路是最常见的逻辑电路。设计 组合电路的一般步骤如图5-1所示。 图5-1 组合逻辑电路设计流程图 根据设计任务的要求建立输入、输出变量,并列出真值表。然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式。并按实际选用逻辑门的类型修改逻辑表达式。根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。最后,用实验来验证设计的正确性。 2、组合逻辑电路设计举例 用“与非”门设计一个表决电路。当四个输入端中有三个或四个为“1”时,输出端才为“1”。 设计步骤:根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。

表5-2 由卡诺图得出逻辑表达式,并演化成“与非”的形式 Z=ABC+BCD+ACD+ABD =ABC ? ? ACD ABC? BCD 根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。 图5-2 表决电路逻辑图 用实验验证逻辑功能 在实验装置适当位置选定三个14P插座,按照集成块定位标记插好集成块CC4012。 按图5-2接线,输入端A、B、C、D接至逻辑开关输出插口,输出端Z接逻辑电平显示输入插口,按真值表(自拟)要求,逐次改变输入变量,测量相应的输出值,验证逻辑功能,与表5-1进行比较,验证所设计的逻辑电路是否符合要求。 三、实验设备与器件 1、+5V直流电源 2、逻辑电平开关 3、逻辑电平显示器 4、直流数字电压表 3、 CC4011×2(74LS00) CC4012×3(74LS20) CC4030(74LS86) CC4081(74LS08) 74LS54×2(CC4085) CC4001 (74LS02)

实验二--组合逻辑电路的设计与测试

` 实验二 组合逻辑电路的设计与测试 一、实验目的 1、 掌握组合逻辑电路的分析与设计方法。 2、 加深对基本门电路使用的理解。 二、实验原理 1、 组合电路是最常用的逻辑电路,可以用一些常用的门电路来组合完成具有其他 功能的门电路。例如,根据与门的逻辑表达式Z= AB = 得知,可以用两 个非门和一个或非门组合成一个与门,还可以组合成更复杂的逻辑关系。 2、 分析组合逻辑电路的一般步骤是: 1) 由逻辑图写出各输出端的逻辑表达式; 2) ) 3) 化简和变换各逻辑表达式; 4) 列出真值表; 4) 根据真值表和逻辑表达式对逻辑电路进行分析,最后确定其功能。 3、 设计组合逻辑电路的一般步骤与上面相反,是: 1) 根据任务的要求,列出真值表; 2) 用卡诺图或代数化简法求出最简的逻辑表达式; 3) 根据表达式,画出逻辑电路图,用标准器件构成电路; 4) 最后,用实验来验证设计的正确性。 4、 — 5、 组合逻辑电路的设计举例 1) 用“与非门”设计一个表决电路。当四个输入端中有三个或四个“1”时,输出端才为“1”。 设计步骤: 表2-1 表决电路的真值表 B A

表2-2 表决电路的卡诺图 然后,由卡诺图得出逻辑表达式,并演化成“与非”的形式: Z+ + = + ABC CDA ABD BCD ? = ? ACD ABC BCD ABC? 最后,画出用“与非门”构成的逻辑电路如图2-1所示: : 图2-1 表决电路原理图 输入端接至逻辑开关(拨位开关)输出插口,输出端接逻辑电平显示端口,自拟真值表,逐次改变输入变量,验证逻辑功能。 三、实验设备与器材 1.数字逻辑电路实验箱。 2.数字逻辑电路实验箱扩展板。 3.数字万用表。 4.芯片74LS00、74LS02、74LS04、74LS10、74LS20。 四、实验内容实验步骤 1、完成组合逻辑电路的设计中的两个例子。 2、, 3、设计一个四人无弃权表决电路(多数赞成则提议通过),要求用四2输入与非门 来实现。 4、用与非门74LS00和异或门74LS86设计一可逆的4位码变换器。 要求: 1)当控制信号C=1时,它将8421码转换成为格雷码;当控制信号C=0时,它 将格雷码转换成为8421码; 2)写出设计步骤,列出码变换关系真值表并画出逻辑电路图; 3)安装电路并测试逻辑电路的功能。

组合逻辑电路实验报告

实 验 课程名称:数字电子技术基础实验指导老师:樊伟敏成绩:__________________ 实验名称:组合逻辑电路实验实验类型:设计类同组学生姓名:__________ 一、实验目的和要求(必填) 二、实验内容和原理(必填) 三、主要仪器设备(必填) 四、操作方法和实验步骤 五、实验数据记录和处理 六、实验结果与分析(必填) 七、讨论、心得 一.实验目的 1.加深理解全加器和奇偶位判断电路等典型组合逻辑电路的工作原理。 2.熟悉74LS00、74LS11、74LS55等基本门电路的功能及其引脚。 3.掌握组合集成电路元件的功能检查方法。 4.掌握组合逻辑电路的功能测试方法及组合逻辑电路的设计方法。 二、主要仪器设备 74LS00(与非门)74LS55(与或非门)74LS11(与门)导线电源数电综合实验箱 三、实验内容和原理及结果 (一) 一位全加器 1.1实验原理:全加器实现一位二进制数的加法,输入有被加数、加数和来自相邻低位的进位;输出有全加和与向高位的进位。 1.2实验内容:用74LS00与非门和74LS55与或非门设计一个一位全加器电路,并进行功能测试。 1.3设计过程:首先列出真值表,画卡诺图,然后写出全加器的逻辑函数,函数如下: ;;1-i Bi)C (Ai + Bi Ai = Ci 1-Ci Bi Ai = Si ⊕⊕⊕异或门可通过,A Bi Ai AB B +=⊕即一个与非门(74LS00),一个与或非门(74LS55)来实现。 , ,通过一个与或非门1-i 1-i 1-i Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai Bi)C (Ai + Bi Ai = Ci ⊕⊕=⊕用与非门)实现。再取非,即一个非门( 1.4仿真与实验电路图:仿真与实验电路图如图1所示。 1.5实验数据记录以及实验结果 全加器实验测试结果满足全加器的功能,真值表: 图1

文本预览
相关文档 最新文档