当前位置:文档之家› 北邮模电简易电子琴实验报告完整版

北邮模电简易电子琴实验报告完整版

数字电路与逻辑设计实验(上)

实验报告

学院:信息与通信工程学院

专业:通信工程

班级:

班内序号:

学号:

姓名:

指导教师:

评分:

2015年6 月2 日

目录

一、实验要求······································

二、实验三(3)和实验四模块端口说明及连接图·······························

三、实验一(2)、实验三(3)和实验四的原理图或VHDL代码···················

四、实验一(2)、实验三(3)和实验四的仿真波形图····························

五、仿真波形图分析······································

六、故障及问题分析····························

七、总结和结论····························

参考文献·························································

一、实验要求

【实验目的】

1.熟悉用QuartusII原理图输入法进行电路设计和仿真;

2.掌握QuartusII图形模块单元的生成与调用;

3.熟悉用VHDL语言设计组合逻辑电路和时序电路的方法;

4.熟悉用QuartusII文本输入法和图形输入法进行电路设计;

5.熟悉不同的编码及其之间的转换;

6.掌握触发器的逻辑功能及使用方法;

7.熟悉计数器、寄存器、锁存器、分频器、移位寄存器的设计方法

8.掌握VHDL语言的语法规范,掌握时序电路描述方法;

9.掌握多个数码管动态扫描显示的原理及设计方法。

【实验所用仪器及元器件】

1.计算机

2.直流稳压电源

3.数字系统与逻辑设计实验开发板

【实验内容】

1、实验内容:QuartusII 原理图输入法设计与实现

实验题目:第七章实验1(1)、(2)、(3)必做,选做VHDL 实现全加器

2 、实验内容:用VHDL 设计与实现组合逻辑电路

实验题目:(1)数码管译码器(第七章实验2(2))

(2)8421 码转余3 码(第七章实验3(2))

(3)奇校验器(第七章实验4(2))

3 、实验内容:用VHDL 设计与实现时序逻辑电路

实验题目:(1)8421 十进制计数器(第七章实验8(2))

(2)分频器(第七章实验11(1))

(3)将(1)、(2)和数码管译码器3 个电路进行链接,并下载到实验板显示计数结果

4 、实验内容:用VHDL 设计与实现相关电路

实验题目:数码管动态扫描控制器。

二、实验三(3)和实验四模块端口说明及连接图

实验三(3)

clk 分频器的时钟信号输入

clear分频器的clear信号输入

B[6...0]阴极管显示器的七段阴极管对应输出

CAT[5...0]从6个阴极管选一个显示

实验四

entity display4 is

port(

clk:in std_logic;

partout:out std_logic_vector(6 downto 0);

catout:out std_logic_vector(5 downto 0));

end display4;

clk 时钟信号

catout(5 downto 0) 街道cat端口使6个阴极管选一个显示,高频率时由于人眼视觉暂留效果可实现使其同时显示

partout(6 downto 0) 阴极管显示器的七段阴极管对应输出

三、实验一(2)、实验三(3)和实验四的原理图或VHDL 代码

实验一(2)

实验三(3)

附:实验三(1)、实验三(2)vhdl代码:

实验三(1)8421 十进制计数器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY BCDcoder IS

PORT(

clk,clear:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END BCDcoder;

ARCHITECTURE a OF BCDcoder IS

SIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

PROCESS(clear,clk)

BEGIN

IF clear='0' THEN q_temp<="0000";

ELSIF(clk'event AND clk='1') THEN

IF q_temp<="1001" THEN

q_temp<="0000";

ELSE

q_temp<=q_temp+1;

END IF;

END IF;

END PROCESS;

q<=q_temp;

END a;

实验三(2)分频器

LIBRARY IEEE;

USE IEEE. STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY XBCDCOUNT10 IS

PORT(

clk,clear:IN STD_LOGIC;

q:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END XBCDCOUNT10;

ARCHITECTURE a OF XBCDCOUNT10 IS

SIGNAL q_temp:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

PROCESS(clk)

BEGIN

IF(clk'event and clk='1')THEN

IF clear='0'THEN

q_temp<="0000";

ELSIF q_temp<="1001"THEN

q_temp<="0000";

ELSE

q_temp<=q_temp+1;

END IF;

END IF;

END PROCESS;

q<=q_temp;

END a;

实验四

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity display4 is

port(

clk:in std_logic;

partout:out std_logic_vector(6 downto 0);

catout:out std_logic_vector(5 downto 0)); end display4;

architecture a of display4 is

signal part:std_logic_vector(6 downto 0); signal cat :std_logic_vector(5 downto 0); signal tclk:std_logic;

signal count:integer range 0 to 50000;

begin

p1:process(clk)

begin

if(clk'event and clk='1')then

if count=10 then

count<=0;

tclk<=not tclk;

else count<=count+1;

end if;

end if;

end process p1;

p2:process(tclk)

begin

if(tclk'event and tclk='1') then

case cat is

when "111110"=>cat<="011111";

part<="1111110"; --0 when "011111"=>cat<="101111";

part<="0110000"; --1 when "101111"=>cat<="110111";

part<="1101101"; --2 when "110111"=>cat<="111011";

part<="1111001"; --3 when "111011"=>cat<="111101";

part<="0110011"; --4 when "111101"=>cat<="111110";

part<="1011011"; --5 when others=>cat<="011111";

part<="1111110";

end case;

end if;

end process p2;

catout<=cat;

partout<=part;

end a;

四、实验一(2)、实验三(3)和实验四的仿真波形图

五、仿真波形分析

实验一(2)实现全加器波形

整体思路是按照实验的要求,先做出一个半加器,然后在这个半加器的基础上实现全加器的功能。

其中端口a,b,c分别为三个输入

S=a xor b xor c;

Co=(a xor b)*c xor a*b;

波形符合上公式,仿真成功。

实验三(3)用VHDL 设计与实现时序逻辑电路波形

Clk为时钟信号输入,clear为清零键

B[6...0]阴极管显示器的七段阴极管对应输出

CAT[5...0]从6个阴极管选一个显示

由波形和对应管脚,在输出信号作用下,阴极管将按照0~9的次序依次变化,实现了时序逻辑电路,按下clear对应复位键波形将复位至1111110,即显示0,波形仿真成功。

实验四数码管动态扫描控制器波形

clk 时钟信号

catout(5 downto 0) 街道cat端口使6个阴极管选一个显示,高频率时由于人眼视觉暂留效果可实现使其同时显示

partout(6 downto 0) 阴极管显示器的七段阴极管对应输出

在时钟信号作用下,catout依次出现使六个阴极管依次亮起的控制信号,高频率时由于人眼视觉暂留效果可实现使其同时显示;同时,partout信号也控制了不同阴极管显示的不同数字,仿真成功。

六、故障及问题分析

实验内容故障及问题分析

第一次实验因为半加器的工程文件没有和全加器的工程文件放在同一文件夹下,出现了无法编译成功的问题,解决问题以后仿真和下载测试也顺利成功;

第二次实验在代码编写过程中出现了一些粗心的小错误,改正后实

验顺利完成

第三次实验在第一次下载测试中出现了一些问题,检查发现是由于

管脚的选择不当造成,更正以后顺利完成

第四次实验由于预习充分,基本没出现问题顺利完成

七、总结和结论

从一开始拿到实验书,对quartus2、vhdl的完全陌生,到最后实验验收,考核并总结出这一份报告,为期四周的数字电路实验过程中,我们有过焦虑,喜悦,成就,希望……

问题总是会出现在我们意想不到的地方,有时候成功明明就在眼前,却不得不耐着性子一点一点去排查代码和波形中差错,遇到问题不再是一味的追问老师,而是学着自己查阅资料想解决办法。总而言之,我们增长了很多知识,也培养了自己独立思考和操作的能力。

参考文献

刘培植,《数字电路与逻辑设计第二版》北京邮电大学出版社

袁东明,《现代数字电路与逻辑设计实验教程第二版》北京邮电大学出版社

2015年6月2日

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

北邮模电—实验三、共射放大电路测试仿真(模板)2018-02-26 (1)

实验三共射放大电路计算、仿真、测试分析报告 (请在本文件中录入结果并进行各类分析,实验结束后,提交电子文档报告) 实验目的: 掌握共射电路静态工作点的计算、仿真、测试方法;掌握电路主要参数的计算、中频时输入、输出波形的相位关系、失真的类型及产生的原因;掌握获得波特图的测试、仿真方法;掌握负反馈对增益、上下限截频的影响,了解输入输出间的电容对上限截频的影响等。 实验设备及器件: 笔记本电脑(预装所需软件环境) AD2口袋仪器 电容:100pF、0.01μF、10μF、100μF 电阻:51Ω*2、300Ω、1kΩ、2kΩ、10kΩ*2、24kΩ 面包板、晶体管、2N5551、连接线等 实验内容: 电路如图3-1所示(搭建电路时应注意电容的极性)。 图3-1实验电路 1.静态工作点 (1)用万用表的β测试功能,获取晶体管的β值,并设晶体管的V BEQ=0.64V,r bb’=10Ω(源于Multisim模型中的参数)。准确计算晶体管的静态工作点(I BQ、I EQ、V CEQ,并填入表3-1)(静态工作点的仿真及测量工作在C4为100pF完成); 主要计算公式及结果:

晶体管为2N5551C,用万用表测试放大倍数β(不同的晶体管放大倍数不同,计算时使用实测数据,并调用和修改Multisim中2N5551模型相关参数,计算静态工作点时,V BEQ=0.64V)。静态工作点计算: (2)通过Multisim仿真获取静态工作点(依据获取的β值,修改仿真元件中晶体管模型的参数,修改方法见附录。使用修改后的模型参数仿真I BQ、I EQ、V CEQ,并填入表3-1); (3)搭建电路测试获取工作点(测试发射极对地电源之差获得I EQ,测试集电极与发射极电压差获取V CEQ,通过β计算I BQ,并填入表3-1); 主要测试数据: 4 (4)对比分析计算、仿真、测试结果之间的差异。 分析:可以发现,这三组数据基本吻合,测试值均高于计算值和仿真值,而仿真值比较接近计算值。产生误差得原因可能是实测中在数据的读取时出现读数误差。 2.波形及增益 (1)计算电路的交流电压增益,若输入1kHz 50mV(峰值)正弦信号,计算正负半周的峰值并填入表3-2中(低频电路的仿真及测量工作在C4为100pF完成); 主要计算公式和结果: 输入峰值为50mV的正弦交流信号时,输出电压峰值为: (2)Multisim仿真:输入1kHz 50mV(峰值)正弦信号,观察输入、输出波形(波形屏幕拷贝贴于下方,标出输出正负半周的峰值,将输出的峰值填入表3-2中);

模电实验报告

模拟电子技术 实验报告 实验题目:放大电路的失真研究 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 【2017年】

目录 一、实验目的与知识背景 (3) 1.1实验目的 (3) 1.2知识背景 (3) 二、实验内容及要求 (3) 2.1基本要求 (3) 2.2发挥部分 (4) 三、实验方案比较及论证 (5) 3.1理论分析电路的失真产生及消除 (5) 3.2具体电路设计及仿真 (8) 四、电路制作及测试 (12) 4.1正常放大、截止失真、饱和失真及双向失真 (12) 4.2交越失真 (13) 4.3非对称失真 (13) 五、失真研究思考题 (13) 六、感想与体会 (16) 6.1小组分工 (16) 6.2收获与体会 (16) 6.3对课程的建议 (17) 七、参考文献 (17)

一、实验目的与知识背景 1.1实验目的 1. 掌握失真放大电路的设计和解决电路的失真问题——针对工程问题,收集信息、查阅文献、分析现有技术的特点与局限性。提高系统地构思问题和解决问题的能力。 2. 掌握消除放大电路各种失真技术——依据解决方案,实现系统或模块,在设计实现环节上体现创造性。系统地归纳模拟电子技术中失真现象。 3. 具备通过现象分析电路结构特点——对设计系统进行功能和性能测试,进行必要的方案改进,提高改善电路的能力。 1.2知识背景 1.输出波形失真可发生在基本放大、功率放大和负反馈放大等放大电路中,输出波形失真有截止失真、饱和失真、双向失真、交越失真,以及输出产生的谐波失真和不对称失真等。 2.基本放大电路的研究、乙类功率放大器、负反馈消除不对称失真以及集成运放的研究与应用。 3.射极偏置电路、乙类、甲乙类功率放大电路和负反馈电路。 二、实验内容及要求 2.1基本要求 1.输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。

北京邮电大学数电实验一实验报告

北京邮电大学数字电路与逻辑 设计实验 学院: 班级: 作者: 学号:

实验一 Quartus II原理图输入法设计 一、实验目的: (1)熟悉Quartus II原理图输入法进行电路设计和仿真 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用 二、实验所用器材: (1)计算机 (2)直流稳压电源 (3)数字系统与逻辑设计实验开发板 三、实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模 块单元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能, 并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 ,仿真验证其功能,并下载到实验板测试。要求用拨码开关设定输入信号,发光二极管显示输出信号。 四、设计思路和过程 (1)半加器的设计 半加器电路是指对两个输入数据位进行加法,输出一个结果位和进位,不产生进位输入的加法器电路。是实现两个一位二进制数的加法运算电路。数据输入AI被加数、BI加数,数据输出SO和数(半加和)、进位C0。 在数字电路设计中,最基本的方法是不管半加器是一个什么样的电路,按组合数字电路的分析方法和步骤进行。 1.列出真值表 半加器的真值表见下表。表中两个输入是加数A0和B0,输出有一个是和S0,另一个是进位C0。

2 该电路有两个输出端,属于多输出组合数字电路,电路的逻辑表达式如下函数的逻辑表达式为:SO=AI⊕BI CO=AB 所以,可以用一个两输入异或门和一个两输入与门实现。

北邮,单片机,实验报告,电子琴

北邮,单片机,实验报告,电子琴 北邮单片机实验报告简易电子琴 2014年小学期单片机设计实验报告题目:基于单片机的电子音乐发生器 班级:班内序号:实验组号:学生姓名:指导教师: 基于单片机的电子音乐发生器 实验摘要 此次本组制作的基于PIC单片机的电子音乐发生器是具有LCD显示屏提示的音乐简单演奏、播放、存储等功能的演示作品,拥有以下4种功能: 1.按键演奏:即“电子琴”功能,可以用键盘上的“1”到“A”键演奏从低音sol到高音do等11个音; 2.点歌功能:即按动“B”“C”键分别演奏两首乐曲(可以表现准确的音高和音长)。3.存储音乐功能:即按右下角“F存储”键,然后按键演奏并存储,随后按“E”键结束,之后按“D键”就可以播放存储的乐曲了; 4.液晶显示功能:即在开始时显示“hello!”,在点播时分别显示“song b”、“song c”,在存储时显示“saving”。 电子音乐发生器采用以Microchip公司的PIC16F877芯片为核心的简单控制系统,外部电路连接有喇叭、键盘、LCD液晶显示屏以及其他必要系统调节元件。软件设计中涉及PORTB\PORTC\PORTD\PORTE用作普通数字I/O脚功能。本实验用

单片机PORTB\D接收来自键盘输入的指令信息,由此确定LCD液晶屏幕显示以及喇叭播放内容,再通过PORTC\D\E输出声音或字幕信息。 关键字 单片机——microcontroller芯片——CMOS chip 音乐发生器——music generator 分频——fractional frequency 一、实验论证与比较 本设计的核心器件是单片机芯片和音频功放芯片。单片机采用以Microchip公司的PIC16F87X系列中的PIC16F877芯片为核心构建简单控制系统,它完全可以满足本设计功能的需要。此音乐发生器设计利用单片机的输入输出功能,当按下播放功能键时,单片机的输出功能使外部电路连接的喇叭和LCD液晶显示屏同时播放声音及显示文字,从而实现各种复杂音乐播放器的功能。 在嵌入式系统设计中,扬声器等是常用的输出设备,它具有使用方便、价格便宜、电路接口简单等优点,因此,在嵌入式系统中被广泛使用。同时随着单片机的发展,其功能越来越强大,技术也越来越成熟,由此生产的音乐播放器越来越受到人们的喜爱。因此,在技术性操作、社会因素和经济方面都具有良好的可行性。我在实验中负责了全部软件设计,代码的编写,电路图的设计,以及部分硬件焊接。其中,困难的部分在于,理论上认为电子音乐发生器模拟真正乐器的仿真实现方法,与现实电路实现起来有着一定的差别,在长音、乐谱存储播放上,以及存储功能的代码

北京邮电大学 模拟电路实验

矿石收音机论坛?〓基础知识普及〓?面包板及其使用法 面包板及其使用法 面包板及其使用法 编者注:为了提高青少年的电子技术素养,促进学生全面发展,培养创业意识和创造技能,本刊(无线电)特约多年从事科普教育的特级教师,北京市有特殊贡献的专家孙心若撰写“电子控制技术入门”系列文章。他根据丰富的电子技术、发明创造教学体验,结合青少年的身心特点,进行有趣的“做中学”和“学中做”电路实验,引导青少年由表及里、由浅入深、循序渐进,获得“操作”体验,熏陶科学情感、发展技术能力,特别提供电子技术发展信息,增强创新意识并为他们展示创造能力营造条件。在内容选择上以电子控制技术内容为中心,以基本电路实验为基础,以数字集成电路为重点,并涉及实验所必需的基本理论及技能技巧,同时介绍青少年感兴趣的一些电子器件、小制作和小发明实例。配刊光盘中将用活动图像的形式讲解和演示这些电路实验的过程和现象,光盘中还加入了一些生活中的应用实例。 一、什么是"面包板"? 1.面包板的构造 面包板即"集成电路实验板",就是一种插件板,此"板"上具有若干小型"插座(孔)".在进行电路实验时,可以根据电路连接要求,在相应孔内插入电子元器件的引脚以及导线等,使其与孔内弹性接触簧片接触,由此连接成所需的实验电路。图1为SYB—118型面包板示意图: 为4行59列,每条金属簧片上有5个插孔,因此插入这5个孔内的导线就被金属簧片连接在一起。簧片之间在电气上彼此绝缘。插孔间及簧片间的距离均与双列直插式(DIP)集成电路管脚的标准间距2.54mm相同,因而适于插入各种数字集成电路。 2.面包板使用注意事项 插入面包板上孔内引脚或导线铜芯直径为0.4~0.6mm,即比大头针的直径略微细一点。元器件引脚或导线头要沿面包板的板面垂直方向插入方孔,应能感觉到有轻微、均匀的摩擦阻力,在面包板倒置时,元器件应能被簧片夹住而不脱落。面包板应该在通风、干燥处存放,特别要避免被电池漏出的电解液所腐蚀。要保持面包板清洁,焊接过的元器件不要插在面包板上。 3.面包板实验套材

北邮模电简易晶体管图示仪实验报告

模拟综合实验 实 验 报 告 课题名称:简易晶体管图示仪 学院:信息与通信工程学院 专业: 班级: : 学号: 指导老师:王丹志

2016.04.15 摘要 本报告主要介绍了简易晶体管图示仪的设计原理、部结构、设计框图及仿真电路图;并且给出了各个分块电路和总体电路的设计原理、功能说明、电路图等;同时展示了实验中示波器上的波形和其他重要数据;最后分析了实际操作中遇到的问题并提出了解决办法,还有对本次实验的结论与总结。 关键词:阶梯波、三角波、晶体管、输出特性曲线

一.设计任务要求: 1.基本要求: 1)设计一个阶梯波发生器,f≥500Hz,Uopp≥3V,阶数 N=6; 2)设计一个三角波发生器,三角波Vopp≥2V; 3)设计保护电路,实现对三极管输出特性的测试。 2.提高要求: 1)可以识别NPN,PNP管,并正确测试不同性质三极管; 2)设计阶数可调的阶梯波发生器。 二.设计思路及总体结构框图: 1.设计思路: 本实验要求用示波器稳定显示晶体管的输出特性曲线,因此可用阶梯波和三角波对晶体管进行周期性扫描,并将结果以图示的方式显示在示波器上。 具体思路如下: 1)首先利用NE555时基振荡器产生符合条件的方波; 2)将方波输入到双运算放大器LF353中,其中一个运放作 为积分器产生锯齿波,另一个运放构成反相放大电路得 到合适幅值的三角波; 3)将方波作为时钟信号输入到四位同步二进制计数器 74LS169中,取其低三位输出作为地址输入到CD4051

的地址端,通过分压在CD4051的数据输入端输入等间 隔的电位值,CD4051作为数据选择器,根据输入的地 址对数据进行选择性输出,从而获得阶梯波; 4)将三角波输入到三极管的集电极,阶梯波作为基极电位 输入到三极管的基极作为扫描电压。通过示波器两通道 分别接集电极和射极,以X-Y模式显示晶体管的输入输 出特性曲线。 2.总体结构框图: 三.分块电路和总体电路设计: 1.方波电路: 1)原理:

北邮模电综合实验-简易电子琴的设计与实现.

电子测量与电子电路实验课程设计 题目: 简易电子琴的设计和制作 姓名孙尚威学院电子工程学院 专业电子信息科学与技术 班级学号班内序号指导教师陈凌霄 2015年 4 月 目录 一、设计任务与要求 (3) 1.1 设计任务与要求 (3) 1.2 选题目的与意义 (3) 二、系统设计分析 (3) 2.1系统总体设计 (3) 2.2 系统单元电路设计 (4) 2.2.1 音频信号产生模块 (4) 2.2.2 功率放大电路 (7) 2.2.3 开关键入端(琴键) (8) 三、理论值计算 (9) 3.1 音阶频率对应表 (9) 3.2 键入电路电阻计算 (9) 四、电路设计与仿真 (10) 4.1 电路设计 (10) 4.2 Multisim仿真 (11) 五、实际电路焊接 (11) 六、系统调试 (13)

6.1 系统测试方案 (13) 6.2 运行结果分析 (14) 七、设计体会与实验总结 (15) 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 1.2 选题目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。 二、系统设计分析 2.1系统总体设计 由555电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC元件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的规律依次将不同值的RC组件接 入振荡电路,就可以使振荡电路按照设定的需求,有节奏的发出已设定的音频信号,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生音符。 图1:系统组成框图 2.2 系统单元电路设计 2.2.1 音频信号产生模块 利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐。555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。

北邮模电实验声控报警电路

北京邮电大学 《电子电路测量与设计实验》实验报告 题目:声控报警电路 姓名:李英民 学号:2014210579 班级: 2014211120 学院: 信息与通信工程学院 2016年 4 月

一、课题名称 声控报警电路 二、摘要及关键字 (一)摘要: 当今社会,对报警系统的需求越来越大,电子报警器应用于安全防范,系统故障,交通运输,医疗救护等领域,和社会生产密不可分。 本实验就针对声控报警电路进行设计和电路拼搭,通过实际面包板电路和仿真电路对报警电路的局部电路和整体电路两方面进行电路介绍和功能分析。并分析在实验中遇见的问题,困难及解决方法,最后总结本实验结束后的心得体验。 (二)关键字: 报警器;CD4011;无源蜂鸣器;LM358 三、设计任务要求 1、基本要求:在麦克风近处击掌(模拟异常响动),电路能发出报警声,持续时间大于5 秒。声音传感器用驻极体式咪头,蜂鸣器用无源压电式蜂鸣器 2、提高要求: A、增加报警灯,使其闪烁报警。 B、增加输出功率,提高报警音量,加强威慑力。 四、设计思路及总体结构框图 (一)设计思路: 驻极体式咪头作为声音传感器,将击掌产生的声信号转化为电信号,微弱 的电信号经过反相放大器放大,放大信号进入同相比较器,比较器根据实验可以设置合理的比较电压 VREF,当放大信号高于比较电压 VREF 时,放大器输出高电平促发方波振荡器开始工作,振荡产生的方波经三极管放大即可驱动无源式蜂鸣器发出报警声音。但由于一次拍手产生的电信号只有短暂的信号,故还需要在比较器后加入延时电路,利用时间常数的特性来延长报警时间 (二)总体结构框图: 五、分块电路和总体电路的设计

模电仿真实验报告。

模拟电路仿真实验报告 张斌杰生物医学工程141班 MUltiSim软件使用 一、实验目的 1、掌握MUltiSim软件的基本操作和分析方法。 二、实验内容 1、场效应管放大电路设计与仿真 2、仪器放大器设计与仿真 3、逻辑电平信号检测电路设计与仿真 4、三极管Beta值分选电路设计与仿真 5、宽带放大电路设计与仿真 三、MUItiSim软件介绍 MUItiSim是美国国家仪器(NI)有限公司推出的以WindOWS为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。工程师们可以使用MUItiSinl交互式地搭建电路原理图,并对电路进行仿真。MUltiSiIn提炼了SPICE 仿真的复杂内容,这样工程师无需懂得深入的SPlCE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过MUItiSiIn和,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到和测试这样一个完整的综合设计流程。 实验名称:

仪器放大器设计与仿真 二、实验目的 1、 掌握仪器放大器的设计方法 2、 理解仪器放大器对共模信号的抑制能力 3、 熟悉仪器放大器的调试功能 4、 掌握虚拟仪器库中关于测试模拟电路仪器的使用方法,如示波器,毫伏 表信 号发生器等虚拟仪器的使用 三、设计实验电路图: 四、测量实验结果: 出为差模放大为399mvo 五、实验心得: 应用MUIti S im 首先要准备好器件的PSPiCe 模型,这是最重要的,没有这个 东西免谈,当然SPiCe 高手除外。下面就可以利用MUItiSinl 的元件向导功 能制作 差模分别输入信号InW 第二条线与第三条线: 共模输入2mv 的的电压,输出为2mv 的电压。 第一条线输

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

单片机电子琴实验报告修订版

单片机电子琴实验报告 修订版 IBMT standardization office【IBMT5AB-IBMT08-IBMT2C-ZZT18】

单片机及DSP课程设计报告 专业:通信工程 班级: 姓名: 学号: 指导教师:李贺 时间:2015-06-22~2015-07-03 通信与电子工程学院 基于单片机的电子琴设计 一、课设的目的及内容 本设计主要是用单片机为核心控制元件,设计一台电子琴。以单片机作为主控核心,与键盘、蜂鸣器、数码管等模块组成核心主控制模块,在主控模块上设有7个按键和1个复位按键。本系统主要是完成的功能:电子琴弹奏并显示所按的按键对应音的唱名。关于声音的处理,使用单片机C语言,利用定时器来控制频率,而每个音符的符号只是存在自定义的表中。 总之,本设计的电子琴有以下要求: (1)用键盘作出电子琴的按键,共7个,每键代表1个音符。各音符按照符合电子琴的按键顺序排列; (2)达到电子琴的基本功能,可以用弹奏出简单的乐曲; (3)在按下按键发出音符的同时显示出音符所对应的唱名

即1(dao)、2(ruai)、3(mi)、4(fa)、5(sao)、6(la)、7 (xi)。 二、问题分析、解决思路及原理图 本系统采用STC89C52RC为主控芯片,因其精度较高,操作比较灵活,输入电路和输出电路由芯片来进行处理,电路的系统的稳定性高,功耗小。其中,输入电路有7个独立按键,通过按键随意按下所要表达的音符,作为电平送给主体电路,中央处理器通过识别,解码输出音符,在蜂鸣器中发出有效的声音。由于需要显示的信息不多,显示电路未采用液晶屏显示,而是使用数码管显示电路负责显示按下的琴键所对应音符的唱名,这样既节省了成本,又降低了编程难度。 图1 如图1所示基于单片机STC89C52RC的电子琴电路,它主要由琴键控制电路、数码管显示电路、音频功放电路和时钟-复位电路四部分所构成。 三、硬件设计 (一)琴键控制电路 琴键控制电路作为人机联系的输入部分,也是间接控制数码显示和音频功放的重要组成部分。本设计采用独立式键盘的思路。 独立式键盘的特点是一键一线,各键相互独立,每个键各接一条I/O口线,通过检测I/O输入线的电平状态,可判断出被按下的按键。? 显而易见,这样电路简单,各条检测线独立,识别按下按键的软件编写简单。 适用于键盘按键数目较少的场合,不适用于键盘按键数目较多的场合,因为将占用较多的I/O口线。? 独立式键盘的7个独立按键分别对应一个I/O口线,当某一按键按下时,对应的检测线就变成了低电平,与其它按键相连的检测线仍为高电平,只需读入I/O输入线的状态,判别哪一条I/O输入线为低电平,很容易识别哪个键被按下。

北邮arduino实验报告

电子电路综合实验设计 实验名称: 基于 Arduino 的电压有效值测量电路设计与实现 学院: 班级: 学号: 姓名: 班内序号:

实验 基于Arduino 的电压有效值测量电路设计与实现 一. 摘要 Arduino是一个基于开放原始码的软硬件平台,可用来开发独立运作、并具互动性的电子产品,也可以开发与PC 相连的周边装置,同时能在运行时与PC 上的软件进行交互。为了测量正弦波电压有效值,首先我们设计了单电源供电的半波整流电路,并进行整流滤波输出,然后选择了通过Arduino设计了读取电压有效值的程序,并实现使用此最小系统来测量和显示电压有效值。在频率和直流电压幅度限定在小范围的情况下,最小系统的示数基本和毫伏表测量的值相同。根据交流电压有效值的定义,运用集成运放和设计的Arduino最小系统的结合,实现了运用少量元器件对交流电压有效值的测量。 关键字:半波整流整流滤波 Arduino最小系统读取电压有效值 二. 实验目的 1、熟悉Arduino 最小系统的构建和使用方法; 2、掌握峰值半波整流电路的工作原理; 3、根据技术指标通过分析计算确定电路形式和元器件参数; 4、画出电路原理图(元器件标准化,电路图规范化); 5、熟悉计算机仿真方法; 6、熟悉Arduino 系统编程方法。 三. 实验任务及设计要求 设计实现 Arduino 最小系统,并基于该系统实现对正弦波电压有效值的测量和显示。 1、基本要求 (1)实现Arduino 最小系统,并能下载完成Blink 测试程序,驱动Arduino 数字13 口LED 闪烁; (2)电源部分稳定输出5V 工作电压,用于系统供电; (3)设计峰值半波整流电路,技术指标要求如下:

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

简易电子琴实验报告

北京邮电大学 课题名称:简易电子琴的设计和制作学院:信息与通信工程学院 专业:信息工程 班级:2014211126 姓名:李家威 学号:2014210691 班内序号:10

指导老师:王丹志 一、摘要及关键字 本课程设计以制作出一个简易电子琴为最终目的。该电子琴以NE555为核心,通过公式计算不同频率按键对应的阻值来实现不同的音调,然后通过运算放大器将信号放大并通过喇叭发出声音。由此设计仿真电路图,选择合适器件进行电路搭建,并进行调试直至达到要求,最后进行数据统计。 关键字:电子琴振荡电路运算放大器 二、设计任务及要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。

三、设计思路、总体结构框图 设计思路 555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积小,使用起来方便。只要在外部配上几个适当的阻容元件,就可以构成施密特触发器、单稳态触发器及多谐振荡器等脉冲信号产生与变换电路。它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。由555定时器电路组成的多谐振荡器,它的振荡频率可以通过改变振荡电路中的RC原件的数值进行改变。根据这一原理,通过设定一些不同的RC数值并通过控制电路,按照一定的速度依次将不同的RC组件接入振荡电路,就可以使振荡电路按照设定的要求,有节奏的发射已设定的音频信号与音乐。

总体结构框图 四、分块电路和总体电路的设计分块电路: 琴键端(开关、电阻)

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行 4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。 3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时, 没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

电子琴设计制作完整实验报告

简易电子琴的设计和制作实验报告 撰写人: 学号:2015210079 指导老师:张君毅 院系:信息与通信工程学院 单位名称:北京邮电大学 撰写时间:二零一七年三月二十七日

目录 一、设计任务与要求 1.1设计任务与要求 1.2选题目的与意义 二、设计和电路 2.1设计思路 2.2 总体结构框图 2.3 分块电路和总体电路的设计 三、实现功能 3.1 已完成的功能 3.2 主要的测试数据必要的测试方法 3.3 必要的测试方法 四、故障及问题分析 五、总结和结论 六、器件清单 七、仿真原理图及波形图 八、参考文献 九、附件 9.1《模拟综合实验过程考核统计表》 9.2《实验频率及功率记录表》

摘要 本实验以设计并制作出简易电子琴为目的,通过对电子琴信号的产生和功率放大方面的研究和实践,可对实际电子琴的音色、音质方面的改进起到一定的探索和促进作用。在这个实验中,我们需要建立系统的概念,培养综合应用电子电路及知识的能力,学习小型电子系统的设计、安装和调试方法。最终需要培养我们的工程实践技能和排除故障的能力。我通过该试验完成了简易电子琴的设计与制作,达到了实验要求的频率和功率,并想法设法改良了音色,最终的实验效果不错。 关键词:简易电子琴、运算放大电路、多谐振荡器、频率 一、设计任务与要求 1.1 设计任务与要求 了解由555定时器构成简易电子琴的电路及原理。设计并利用NE555集成运算电路以及外加电阻,电容在第一级产生不同频率的音乐,再利用LM386功率放大电路对音乐信号进行放大,最后通过扬声器产生21个音符。 基本要求:能演奏两个八度音阶。 提高要求:将音阶扩大到再降八度,进一步提高输出功率到0.5w。 1.2 选题的目的与意义 (1)培养理论联系实际的正确设计思想,训练综合运用已经学过的理论和生产实际知识去分析和解决工程问题的能力。 (2)学习较复杂的电子系统设计的一般方法,了解和掌握模拟,数字电路等知识解决电子信息方面常见实际问题的能力。 (3)学习调试电子电路的方法,提高实际动手能力。了解由555定时器构成简易电子琴的电路及原理。

北邮模电综合设计实验

电子电路综合设计实验 实验5自动增益控制电路的设计与实现 信息与通信工程学院 一.课题名称:自动增益控制电路的设计与实现 二.实验目的

1.了解AGC(自动增益控制)的自适应前置放大器的应用; 2.掌握AGC电路的一种实现方法; 3.提高独立设计电路和验证实验的能力。 三.实验摘要 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小范围内变化的特殊功能电路,简称为 AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 四.设计任务要求 1.基本要求: 设计一个AGC电路,要求设计指标以及给定条件为: ·输入信号:0.5~50mVrms; ·输出信号:0.5~1.5Vrms; ·信号带宽:100~5KHz。 2.提高要求: 设计一种采用其他方式的AGC电路。 五.设计思路与实验各部分功能 自动增益总体框图,主要包括驱动缓冲电路,级联放大电路,输出跟随电路和增益反馈电路四个部分组成。 1.驱动缓冲电路: 输入缓冲极,其设计电路图如图3所示; 输入信号V IN驱动缓冲极Q1,它的旁路射极电阻R3有四个作用:它将Q1的微分输出电阻提高到接近公式(1)所示的值。该电路中的微分输出电阻增加很多,使R4的阻值几乎可以唯一地确定这个输出电阻。 R D1≈r be+(1+βr ce/r be)(R3//r be) 由于R3未旁路,使Q1电压增益降低至: A Q1=-βR4/〔r be+(1+β)R3〕≈-R4/ R3 未旁路的R3有助于Q1集电极电流-电压驱动的线性响应。 Q1的基极微分输入电阻升至R dBASE=r be+(1+β)R3,与只有r be相比,它远远大于Q1的瞬时工作点,并且对其依赖性较低。

北邮数电实验报告

北京邮电大学 实验报告实验名称:数电电路与逻辑设计实验 学院:信息与通信工程学院 班级: 姓名: 学号: 班内序号: 日期:

一. 实验一:Quartus II 原理图输入法设计 1. 实验名称和实验任务要求 (1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块 元。 (2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号入信号。 (3)用3线-8线译码器(74LS138)和逻辑门设计实现函数 F=A B C +A B C +A B C + A B C 。 2. 实验原理图及波形图 (1)半加器 (2)全加器

(3)74LS38 3.仿真波形图分析 (1)半加器: 输入为a,b,输出S,CO(进位)。 当ab都为0时,半加和s=0,进位端co=0。 当ab都为1时,半加和s=0,进位端co=1。 当a=1,b=0 或a=0,b=1时,半加和s=1,进位端co=0。 (2)全加器:

输入a,b,输出S,CO(进位),ci(低进位)。 当a=0,b=0,ci=0,输出s=0,co=0。 当a=0,b=1或a=1,b=0又 ci=0,输出s=1,co=0。 当a=0,b=0,ci=1,输出s=1,co=0。 (3)74LS138 输入A,B,C,输出为3。 四个输出对应F中的四个最小项,Y0、Y2、Y4、Y7,以实现函数功能。 二.实验二:用 VHDL 设计与实现组合逻辑电路 1.实验名称和实验任务要求 (1)用VHDL语言设计实现一个共阴极7段数码管译码器,仿真验证其功能。要求用拨码开关设定输入信号,7段数码管显示输出信号。 (2) 用VHDL语言设计实现一个8421码转换为余3码的代码转换器,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3) 用VHDL语言设计实现一个4位二进制奇校验器,输入奇数个’1’时,输出为’1’,否则输出’0’,仿真验证其功能。要求用拨码开关设定输入信号,发光二极管显示输出信号。 2.实验代码及波形图 (1)共阴极7段数码管译码器 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY GUAN IS PORT(A:IN STD_LOGIC_VECTOR(3 DOWNTO 0); B:OUT STD_LOGIC_VECTOR(6 DOWNTO 0); C:OUT STD_LOGIC_VECTOR(5 DOWNTO 0)); END GUAN; ARCHITECTURE encoder_arch OF GUAN IS BEGIN PROCESS(A) BEGIN C<="011111"; CASE A IS WHEN"0000"=> B<="1111110";--0 WHEN"0001"=> B<="0110000";--1 WHEN"0010"=> B<="1101101";--2 WHEN"0011"=> B<="1111001";--3 WHEN"0100"=> B<="0110011";--4 WHEN"0101"=> B<="1011011";--5 WHEN"0110"=> B<="1011111";--6 WHEN"0111"=> B<="1110000";--7 WHEN"1000"=> B<="1111111";--8 WHEN"1001"=> B<="1111011";--9

相关主题
文本预览
相关文档 最新文档