当前位置:文档之家› 51系列单片机直流电机闭环调速实验

51系列单片机直流电机闭环调速实验

51系列单片机直流电机闭环调速实验
51系列单片机直流电机闭环调速实验

指导教师评定成绩:

审定成绩:

自动化学院

综合实验报告

综合实验(1):51系列单片机直流电机闭环调速实验——基于Protuse仿真实验平台实现

专业:电气工程与自动化

班级:

姓名:

学号:

时间:2013.10---2013.12

一、设计要求

1.设计硬件电路:

(1)直流电机采用编码器测速

(2)直流电机速度给定采用电位器进行模拟电压给定,0——5V

(3)AD转采用12位转换

(4)显示采用8位LED,或者LCD1602显示

(5)键盘4X4,PID等参数通过键盘设置。

2.软件

(1)控制算法:数字PID,参数在线修改。

(2)显示窗口:显示速度的设置值SV、速度的实际值PV。

(3)实际速度值,速度峰值、峰值时间等通过串口上传到上位机(选做)

二、实验内容

直流电机PWM控制系统的主要功能包括:直流电机的加速、减速以及电机的正转和反转,并且可以调整电机的转速,还可以方便的读出电机转速的大小,能够很方便的实现电机的智能控制。其间,还包括直流电机的直接清零、启动(置数)、暂停、连续功能。该直流电机系统由以下电路模块组成:振荡器和时钟电路:这部分电路主要由AT89C52、些电容、晶振组成。设计输入部分:这一模块主要是利用带中断的独立式键盘来实现。设计控制部分:主要由AT89C52单片机的外部中断扩展电路组成。设计液晶显示部分由LCD1602液晶显示模块组成。直流电机PWM控制实现部分:主要由一些二极管、电机和L298直流电机驱动模块组成。

1.主控电路

主控型号:AT89C52

图2-1 单片机最小系统

2.电机驱动电路

电机的驱动芯片选用L298N 作为驱动芯片,工作稳定电机驱动信号由单片机提供,信号经过光耦隔离后,通过L298N的输出脚与两个电机相连,L298N内部包含4通道逻辑驱动电路,可以方便的驱动两个直流电机,或一个两相步进电机。L298N可接受标准TTL逻辑电平信号VSS,VSS可接4.5~7 V电压。4脚VS 接电源电压,VS电压范围VIH为+2.5~46 V。输出电流可达2.5 A,可驱动电感性负载。L298N的OUT1,OUT2和OUT3,OUT4之间可分别接电动机,该4个端口用来控制电机的转向,L298的另外两个使能端是用来通过调节占空比控制电机转速。

图2-2 电机驱动电路3.键盘输入电路

采用键盘4X4,进PID等参数通过键盘设置

图2-3 输入电路4.AD采集电路

型号:TLC2543,为12位AD

图2-4 AD采集电路

5.显示电路

采用LCD1602液晶进行信息显示

图2-5 LCD1602显示电路

三、PID算法

将偏差的比例(Proportion)、积分(Integral)和微分(Differential)通过线性组合构成控制量,用这一控制量对被控对象进行控制,这样的控制器称PID控制器。

PID控制分为模拟PID控制和数字PID控制。而单片机PID控制属于数字PID控制,数字式PID控制算法可以分为位置式PID和增量式PID控制算法。经典算法如下:

float MaxValue=100; //上限

float MinValue=0; //下限

/******************PID参数定义*******************/

struct PID sPID; //PID Control Structure

struct PID *sptr = &sPID; //PID 地址指针

/*******************PID初始化********************/

void PID_Init()

{

sptr->SetPoint = 100;

sptr->Output = 0; //输出值

sptr->LastError = 0; //Error[-1]

sptr->PrevError = 0; //Error[-2]

sptr->SumError = 0;

sptr->Proportion = 0.5; //比例常数Proportional Const sptr->Integral = 0; //积分常数Integral Const

sptr->Derivative = 0; //微分常数Derivative Const }

/*****************PID位置式*******************/

float LocPIDCalc(float NextPoint)

{

float iError,dError;

iError = sptr->SetPoint - NextPoint; //偏差

sptr->SumError += iError; //积分

dError = iError - sptr->LastError; //微分

sptr->LastError = iError;

sptr->Output = sptr->Proportion * iError //比例项

+ sptr->Integral * sptr->SumError //积分项

+ sptr->Derivative * dError; //微分项

if(sptr->Output > MaxValue) sptr->Output = MaxValue; //上限控制

if(sptr->Output < MinValue) sptr->Output = MinValue; //下限控制

printf("PIDOUT=%f\r\n\r\n",sptr->Output);

return sptr->Output;

}

/******************PID增量式*******************/

float IncPIDCalc(float NextPoint)

{

float iError;

iError = sptr->SetPoint - NextPoint;

sptr->Output = sptr->Proportion * iError //E[0]

- sptr->Integral * sptr->LastError //E[-1]

+ sptr->Derivative * sptr->PrevError; //E[-2]

sptr->PrevError = sptr->LastError;

sptr->LastError = iError;

if(sptr->Output > MaxValue) sptr->Output = MaxValue; //上限控制

if(sptr->Output < MinValue) sptr->Output = MinValue; //下限控制

printf("PIDOUT=%f\r\n\r\n",sptr->Output);

return(sptr->Output);

}

四、整体电路

图4-1 整体电路

五、结论

本课题的目的在于利用单片机实现PID算法产生PWM脉冲来控制电机转速。归纳起来主要做了如下几方面的工作:1、PID算法与PWM控制技术有机的结合;2、设计了电机调速电路;3、利用C语言进行程序设计,并通过仿真(源程序见附录)。根据上面论述结合测试数据可以看出本次设计基本完成了设计任务和要求。

通过此次设计,掌握了数字PID算法的使用及编程方法,学习了如何进行系统设计及相关技巧,为今后的工作和学习奠定了坚实的基础。

六、控制程序代码

1.main.c

#include

#include "delay.h"

#include "1602.h"

#include "PID.h"

#include "timer.h"

#include"keyboard.h"

#include

/*------------------------------------------------

主程序

------------------------------------------------*/

main()

{

unsigned char temp[7];//定义显示区域临时存储数组

unsigned char key; //按键

LCD_Init(); //初始化液晶

DelayMs(20); //延时有助于稳定

LCD_Clear(); //清屏

init();

while (1) //主循环

{

sprintf(temp," %5.1f ",(float)speed); //float是强制转换符号,用于将结果转换成浮点型,%5.0f 表示浮点输出,共5位数,小数点后0位

LCD_Write_String(0,0,temp);

key = KeyPro();

}

}

2.timer.c

#include

#include "timer.h"

#include "1602.h"

#include "PID.h"

#include

unsigned int init_count; //中断次数

unsigned int count,count1; //定时溢出次数

unsigned int speed0,speed; //速度

unsigned long time; //脉冲周期

char spwm = 10; //pwm值

/*************定时器初始化*************/

void init_clock()

{

TMOD = 0x21; //设定时器0为工作方式1,定时器1为工作方式2(自动重装初值) TH0 = 0x3c; //设定50ms一次中断

TL0 = 0xb0;

TH1 = 0x9c; //设定100us一次中断

TL1 = 0x9c;

EA = 1; //开总中断

ET0 = 1; //开定时器0中断

TR0 = 1; //启动定时器0

// TR0 = 0; //关闭定时器0

ET1 = 1; //开定时器1中断

TR1 = 1; //启动定时器1

}

/************外部中断初始化************/

void init_int()

{

EA = 1; //开总中断

EX0 = 1; //开外部中断0

IT0 = 1; //启动下降沿触发有效

}

/**************总初始化***************/

void init()

{

init_int();

init_clock();

}

/************中断服务程序*************/

void into() interrupt 0

{

init_count++;

}

void T0_time() interrupt 1

{

TH0 = 0x3c; //重装初值

TL0 = 0xb0;

count++;

if(count>=20) //50ms*20=1s

{

EX0 = 0;

TR0 = 0;

led = ~led;

speed = init_count; //电机转速

spwm = pidcontrol(speed);

count = 0;

init_count = 0;

EX0 = 1;

TR0 = 1;

}

}

void T1_time() interrupt 3

{

count1++;

if(count1 >= 100) count1 = 0; //计时100us*100=10ms=100Hz

if(count1 < spwm) pwm = 1; //占空比

else pwm = 0;

}

3.1602.c

/*-----------------------------------------------

名称:LCD1602

引脚定义如下:1-VSS 2-VDD 3-V0 4-RS 5-R/W 6-E 7-14 DB0-DB7 15-BLA 16-BLK ------------------------------------------------*/

#include "1602.h"

#include "delay.h"

sbit RS = P2^0; //定义端口

sbit RW = P2^1;

sbit EN = P2^2;

#define RS_CLR RS=0

#define RS_SET RS=1

#define RW_CLR RW=0

#define RW_SET RW=1

#define EN_CLR EN=0

#define EN_SET EN=1

#define DataPort P0

/*------------------------------------------------

判忙函数

------------------------------------------------*/

/*

bit LCD_Check_Busy(void)

{

DataPort= 0xFF;

RS_CLR;

RW_SET;

EN_CLR;

_nop_();

EN_SET;

return (bit)(DataPort & 0x80);

}

*/

/*------------------------------------------------

写入命令函数

------------------------------------------------*/

void LCD_Write_Com(unsigned char com)

{

// while(LCD_Check_Busy()); //忙则等待

RS_CLR;

RW_CLR;

EN_SET;

DataPort= com;

_nop_();

EN_CLR;

}

/*------------------------------------------------

写入数据函数

------------------------------------------------*/

void LCD_Write_Data(unsigned char Data)

{

// while(LCD_Check_Busy()); //忙则等待

RS_SET;

RW_CLR;

EN_SET;

DataPort= Data;

_nop_();

EN_CLR;

}

/*------------------------------------------------

清屏函数

------------------------------------------------*/

void LCD_Clear(void)

{

LCD_Write_Com(0x01);

DelayMs(5);

}

/*------------------------------------------------

写入字符串函数

------------------------------------------------*/

void LCD_Write_String(unsigned char x,unsigned char y,unsigned char *s) {

if (y == 0)

{

LCD_Write_Com(0x80 + x); //表示第一行

}

else

{

LCD_Write_Com(0xC0 + x); //表示第二行

}

while (*s)

{

LCD_Write_Data( *s);

s ++;

}

}

/*------------------------------------------------

写入字符函数

------------------------------------------------*/

void LCD_Write_Char(unsigned char x,unsigned char y,unsigned char Data)

if (y == 0)

{

LCD_Write_Com(0x80 + x);

}

else

{

LCD_Write_Com(0xC0 + x);

}

LCD_Write_Data( Data);

}

/*------------------------------------------------

初始化函数

------------------------------------------------*/

void LCD_Init(void)

{

LCD_Write_Com(0x38); /*显示模式设置*/

DelayMs(5);

LCD_Write_Com(0x38);

DelayMs(5);

LCD_Write_Com(0x38);

DelayMs(5);

LCD_Write_Com(0x38);

LCD_Write_Com(0x08); /*显示关闭*/

LCD_Write_Com(0x01); /*显示清屏*/

LCD_Write_Com(0x06); /*显示光标移动设置*/

DelayMs(5);

LCD_Write_Com(0x0C); /*显示开及光标设置*/ }

4.ad.c

/************************************

TLC2543驱动程序

*************************************/

#include

#include

#include

/**************************************

2543控制引脚定义

*************************************/

sbit D_OUT = P2^3; /*2543输出*/

sbit D_IN = P2^4; /*2543输入*/

sbit _CS = P2^5; /*2543片选*/

sbit CLOCK = P2^6; /*2543时钟*/

/**************************************

名称:delay

功能:延时模块

输入参数:n要延时的周期数

输出参数:无

*************************************/

void delay(uchar n)

{

uchar i;

for(i=0;i

_nop_();

}

}

/**************************************

名称:read2543

功能:TLC2543驱动模块

输入参数:port通道号

输出参数:ad转换值

*************************************/

uint read2543(uchar port)

{

uint ad=0,i;

CLOCK=0;

_CS=0;

port<<=4;

for(i=0;i<12;i++)

{

if(D_OUT) ad|=0x01;

D_IN=(bit)(port&0x80);

CLOCK=1;

delay(3);

CLOCK=0;

delay(3);

port<<=1;

ad<<=1;

}

_CS=1;

ad>>=1;

return(ad);

}

5.pid.c

#include "PID.h"

float maxvalue=100,minvalue=0; //输出范围控制

float setpoint=100,e,e1,e2; //pid偏差

float uk,uk1,duk; //pid输出值

float Kp=5.0,Ki=0.1,Kd=0.3; //pid控制系数/******************PID算法*******************/ float pidcontrol(float point)

{

e = setpoint - point;

duk = (Kp*(e-e1) + Ki*e + Kd*(e-2*e1+e2));

uk = uk1 + duk;

uk1 = uk;

e2 = e1;

e1 = e;

if(uk > maxvalue) uk=maxvalue;

else if(uk < minvalue) uk=minvalue;

return uk;

}

6.keyboard.c

/*-----------------------------------------------

名称:矩阵键盘

------------------------------------------------*/

#include

#include"keyboard.h"

#include"delay.h"

#define KeyPort P1

/*------------------------------------------------

按键扫描函数,返回扫描键值

------------------------------------------------*/

unsigned char KeyScan(void) //键盘扫描函数,使用行列反转扫描法{

unsigned char cord_h,cord_l; //行列值中间变量

KeyPort=0x0f; //行线输出全为0

cord_h=KeyPort&0x0f; //读入列线值

if(cord_h!=0x0f) //先检测有无按键按下

{

DelayMs(10); //去抖

if((KeyPort&0x0f)!=0x0f)

{

cord_h=KeyPort&0x0f; //读入列线值

KeyPort=cord_h|0xf0; //输出当前列线值

cord_l=KeyPort&0xf0; //读入行线值

while((KeyPort&0xf0)!=0xf0);//等待松开并输出

return(cord_h+cord_l); //键盘最后组合码值

}

}return(0xff); //返回该值

}

/*------------------------------------------------

按键值处理函数,返回扫键值

可以根据需要改变返回值

| 1 | 2 | 3 | 4 |

| 5 | 6 | 7 | 8 |

| 9 | 0 | C | E |

| F1| F2| F3| F4|

------------------------------------------------*/

unsigned char KeyPro(void)

{

switch(KeyScan())

{

case 0x7e:return 1 ;break;//0 按下相应的键显示相对应的码值

case 0x7d:return 2 ;break;//1

case 0x7b:return 3 ;break;//2

case 0x77:return 4 ;break;//3

case 0xbe:return 5 ;break;//4

case 0xbd:return 6 ;break;//5

case 0xbb:return 7 ;break;//6

case 0xb7:return 8 ;break;//7

case 0xde:return 9 ;break;//8

case 0xdd:return 0 ;break;//9

case 0xdb:return 'C';break;//a

case 0xd7:return 'E';break;//b

case 0xee:return 'p';break;//c

case 0xed:return 'i';break;//d

case 0xeb:return 'd';break;//e

case 0xe7:return ' ';break;//f

default:return 0xff;break;

}

}

双闭环直流电机调速系统的SIMULINK仿真实验

双闭环直流电机调速系统的SIMULINK仿真实验 魏小景张晓娇刘姣 (自动化0602班) 摘要:采用工程设计方法对双闭环直流调速系统进行设计,选择调节器结构,进行参数的计算和校验;给出系统动态结构图,建立起动、抗负载扰动的Matlab Simulink 仿真模型.分析系统起动的转速和电流的仿真波形 ,并进行调试 ,使双闭环直流调速系统趋于合理与完善。 关键词:双闭环调速系统;调节器;Matlab Simulink建模仿真 1.引言 双闭环直流调速系统是目前直流调速系统中的主流设备,具有调速范围宽、平稳性好、稳速精度高等优点,在理论和实践方面都是比较成熟的系统,在拖动领域中发挥着极其重要的作用。由于直流电机双闭环调速是各种电机调速系统的基础,直流电机双闭环调速系统的工程设计主要是设计两个调节器。调节器的设计一般包括两个方面:第一选择调节器的结构,以确保系统稳定,同时满足所需的稳态精度. 第二选择调节器的参数,以满足动态性能指标。本文就直流电机调速进行了较系统的研究,从直流电机的基本特性到单闭环调速系统,然后进行双闭环直流电机设计方法研究,最后用实际系统进行工程设计,并采用Matlab/Sim-ulink进行仿真。 2.基本原理和系统建模 为了实现转速和电流两种负反馈分别起作用,在系统中设置了两个调节器,分别调节转速和电流,二者之间实行串联连接. 把转速调节器ASR 的输出当作电流调节器ACR 的输入,再用电流调节器的输出去控制晶闸管整流器的触发装置GT ,TA为电流传感器,TG 为测速发电机. 从闭环结构上看,电流调节环在里面,叫做内环,转速调节环在外边叫做外环,这样就形了转速、 图1 直流电机双闭环调速系统的动态结构图

转速单闭环直流调速系统设计

郑州航空工业管理学院 电力拖动自动控制系统课程设计 07 级电气工程及其自动化专业 0706073 班级 题目转速单闭环的直流拖动系统 姓名 学号 指导教师孙标 二ОО十年月日

电力拖动自动控制系统课程设计 一、设计目的 加深对电力拖动自动控制系统理论知识的理解和对这些理论的实际应用能力,提高对实际问题的分析和解决能力,以达到理论学习的目的,并培养学生应用计算机辅助设计的能力。 二、设计任务 设计一个转速单闭环的直流拖动系统

题目:单闭环不可逆直流调速系统设计 1 技术指标 电动机参数:PN=3KW, n N=1500rpm, UN=220V,IN=17.5A,Ra=1.25 。主回路总电阻R=2.5,电磁时间常数Tl=0.017s,机电时间常数Tm=0.075s。三相桥式整流电路,Ks=40。测速反馈系数=0.07。调速指标:D=30,S=10%。 2 设计要求 (1)闭环系统稳定 (2)在给定和扰动信号作用下,稳态误差为零。 3 设计任务(1)绘制原系统的动态结构图; (2)调节器设计; (3)绘制校正后系统的动态结构图; (4)撰写、打印设计说明书。 4 设计说明书 设计说明书严格按**大学毕业设计格式书写,全部打印.另外,设计说明书应包括以下内容: (1)中文摘要 (2)英文摘要

目录 第一章中文摘要 ································································································ - 1 -第二章英文摘要 ············································································错误!未定义书签。第三章课程设计的目的和意义·············································································· - 1 -1.电力拖动简介 ··························································································· - 1 - 2.课程设计的目的和意义·················································································· - 2 -第四章课程设计内容·························································································· - 2 -第五章方案确定 ································································································ - 3 - 5.1方案比较的论证 ······················································································ - 3 - 5.1.1总体方案的论证比较········································································ - 3 - 5.1.2主电路方案的论证比较····································································· - 4 - 5.1.3控制电路方案的论证比较·································································· - 6 -第六章主电路设计····························································································· - 7 - 6.1主电路工作设备选择 ················································································ - 7 -第七章控制电路设计·························································································· - 8 -第八章结论 ·····································································································- 11 -第九章参考文献 ·······························································································- 11 -

最经典的51单片机经典流水灯汇编程序

单片机流水灯汇编程序设计 开发板上的8只LED为共阳极连接,即单片机输出端为低电平时即可点亮LED。 程序A: ;用最直接的方式实现流水灯 ORG 0000H START:MOV P1,#01111111B ;最下面的LED点亮 LCALL DELAY;延时1秒 MOV P1,#10111111B ;最下面第二个的LED点亮 LCALL DELAY;延时1秒 MOV P1,#11011111B ;最下面第三个的LED点亮(以下省略) LCALL DELAY MOV P1,#11101111B LCALL DELAY MOV P1,#11110111B LCALL DELAY MOV P1,#11111011B LCALL DELAY MOV P1,#11111101B LCALL DELAY MOV P1,#11111110B LCALL DELAY MOV P1,#11111111B ;完成第一次循环点亮,延时约0.25秒 AJMP START ;反复循环 ;延时子程序,12M晶振延时约250毫秒 DELAY: MOV R4,#2 L3: MOV R2 ,#250 L1: MOV R3 ,#250 L2: DJNZ R3 ,L2 DJNZ R2 ,L1 DJNZ R4 ,L3 RET END 程序B: ;用移位方式实现流水灯

ajmp main ;跳转到主程序 org 0030h ;主程序起始地址 main: mov a,#0feh ;给A赋值成11111110 loop: mov p1,a ;将A送到P1口,发光二极管低电平点亮 lcall delay ;调用延时子程序 rl a ;累加器A循环左移一位 ajmp loop ;重新送P1显示 delay: mov r3,#20 ;最外层循环二十次 d1: mov r4,#80 ;次外层循环八十次 d2: mov r5,#250 ;最内层循环250次 djnz r5,$ ;总共延时2us*250*80*20=0.8S djnz r4,d2 djnz r3,d1 ret end 51单片机经典流水灯程序,在51单片机的P2口接上8个发光二极管,产生流水灯的移动效果。 ORG 0 ;程序从0地址开始 START: MOV A,#0FEH ;让ACC的内容为11111110 LOOP: MOV P2,A ;让P2口输出ACC的内容 RR A ;让ACC的内容左移 CALL DELAY ;调用延时子程序 LJMP LOOP ;跳到LOOP处执行 ;0.1秒延时子程序(12MHz晶振)=================== DELAY: MOV R7,#200 ;R7寄存器加载200次数 D1: MOV R6,#250 ;R6寄存器加载250次数 DJNZ R6,$ ;本行执行R6次 DJNZ R7,D1 ;D1循环执行R7次 RET ;返回主程序

单闭环直流调速系统

第十七单元 晶闸管直流调速系统 第二节 单闭环直流调速系统 一、转速负反馈直流调速系统 转速负反馈直流调速系统的原理如图l7-40所示。 转速负反馈直流调速系统由转速给定、转速调节器ASR 、触发器CF 、晶闸管变流器U 、测速发电机TG 等组成。 直流测速发电机输出电压与电动机转速成正比。经分压器分压取出与转速n 成正比的转速反馈电压Ufn 。 转速给定电压Ugn 与Ufn 比较,其偏差电压ΔU=Ugn-Ufn 送转速调节器ASR 输入端。 ASR 输出电压作为触发器移相控制电压Uc ,从而控制晶闸管变流器输出电压Ud 。 本闭环调速系统只有一个转速反馈环,故称为单闭环调速系统。 1.转速负反馈调速系统工作原理及其静特性 设系统在负载T L 时,电动机以给定转速n1稳定运行,此时电枢电流为Id1,对应转速反馈电压为Ufn1,晶闸管变流器输出电压为Udl 。 n n I C R R C U C R R I U n d e d e d e d d d ?+=+-=+-=0)(φ φφ 当电动机负载T L 增加时,电枢电流Id 也增加,电枢回路压降增加,电动机转速下降,则Ufn 也相应下降, 而转速给定电压Ugn 不变,ΔU=Ugn-Ufn 增加。 转速调节器ASR 输出电压Uc 增加,使控制角α减小,晶闸管整流装置输出电压Ud 增加,于是电动机转速便相应自动回升,其调节过程可简述为: T L ↑→Id ↑→Id(R ∑+Rd)↑→n ↓→Ufn ↓→△U ↑→Uc ↑→α↓→Ud ↑→n ↑。 图17-41所示为闭环系统静特性和开环机械特性的关系。

图中①②③④曲线是不同Ud之下的开环机械特性。 假设当负载电流为Id1时,电动机运行在曲线①机械特性的A点上。 当负载电流增加为Id2时,在开环系统中由于Ugn不变,晶闸管变流器输出电压Ud 也不会变,但由于电枢电流Id增加,电枢回路压降增加,电动机转速将由A点沿着曲线①机械特性下降至B’点,转速只能相应下降。 但在闭环系统中有转速反馈装置,转速稍有降落,转速反馈电压Ufn就相应减小,使偏差电压△U增加,通过转速调节器ASR自动调节,提高晶闸管变流器的输出电压Ud0由Ud01变为Ud02,使系统工作在随线②机械特性上,使电动机转速有所回升,最后稳定在曲线②机械特性的B点上。 同理随着负载电流增加为Id3,Id4,经过转速负反馈闭环系统自动调节作用,相应工作在曲线③④机械特性上,稳定在曲线③④机械特性的C,D点上。 将A,B,C,D点连接起来的ABCD直线就是闭环系统的静特性。 由图可见,静特性的硬度比开环机械特性硬,转速降Δn要小。闭环系统静特性和开环机械特性虽然都表示电动机的转速-电流(或转矩)关系,但两者是不同的,闭环静特性是表示闭环系统电动机转速与电流(或转矩)的静态关系,它只是闭环系统调节作用的结果,是在每条机械特性上取一个相应的工作点,只能表示静态关系,不能反映动态过程。 当负载突然增加时,如图所示由Idl突增到Id2时,转速n先从A点沿着①曲线开环机械特性下降,然后随着Ud01升高为Ud02,转速n再回升到B点稳定运行,整个动态过程不是沿着静特性AB直线变化的。 2.转速负反馈有静差调速系统及其静特性分析 对调速系统来说,转速给定电压不变时,除了上面分析负载变化所引起的电动机转速变化外,还有其他许多扰动会引起电动机转速的变化,例如交流电源电压的变化、电动机励磁电流的变化等,所有这些扰动和负载变化一样都会影响到转速变化。对于转速负反馈调速系统来说,可以被转速检测装置检测出来,再通过闭环反馈控制减小它们对转速的影响。也就是说在闭环系统中,对包围在系统前向通道中的各种扰动(如负载变化、交流电压波动、电动机励磁电流的变化等)对被调量(如转速)的影响都有强烈的抑制作用。但是对于转速负反馈调速系统来说,转速给定电压Ugn的波动和测速发电机的励磁变化引起的转速反馈电压Ufn变化,闭环系统对这种给定量和检测装置的扰动将无能为力。为了使系统有较高的调速精度,必须提高转速给定电源和转速检测装置的精度。

直流电机PWM调速与控制设计报告

综合设计报告 单位:自动化学院 学生姓名: 专业:测控技术与仪器 班级:0820801 学号: 指导老师: 成绩: 设计时间:2011 年12 月 重庆邮电大学自动化学院制

一、题目 直流电机调速与控制系统设计。 二、技术要求 设计直流电机调速与控制系统,要求如下: 1、学习直流电机调速与控制的基本原理; 2、了解直流电机速度脉冲检测原理; 3、利用51单片机和合适的电机驱动芯片设计控制器及速度检测电路; 4、使用C语言编写控制程序,通过实时串口能够完成和上位机的通信; 5、选择合适控制平台,绘制系统的组建结构图,给出完整的设计流程图。 6、要求电机能实现正反转控制; 7、系统具有实时显示电机速度功能; 8、电机的设定速度由电位器输入; 9、电机的速度调节误差应在允许的误差范围内。 三、给定条件 1、《直流电机驱动原理》,《单片机原理及接口技术》等参考资料; 2、电阻、电容等各种分离元件、IC、直流电机、电源等; 3、STC12C5A60S2单片机、LM298以及PC机; 四、设计 1. 确定总体方案; 2. 画出系统结构图; 3. 选择以电机控制芯片和单片机及速度检测电路,设计硬件电路; 4. 设计串口及通信程序,完成和上位机的通信; 5. 画出程序流程图并编写调试代码,完成报告;

直流电机调速与控制 摘要:当今社会,电动机作为最主要的机电能量转换装置,其应用范围已遍及国民经济的各个领域和人们的日常生活。无论是在工农业生产,交通运输,国防,航空航天,医疗卫生,商务和办公设备中,还是在日常生活的家用电器和消费电子产品(如电冰箱,空调,DVD等)中,都大量使用着各种各样的电动机。据资料显示,在所有动力资源中,百分之九十以上来自电动机。同样,我国生产的电能中有百分之六十是用于电动机的。电动机与人的生活息息相关,密不可分。电气时代,电动机的调速控制一般采用模拟法、PID控制等,对电动机的简单控制应用比较多。简单控制是指对电动机进行启动,制动,正反转控制和顺序控制。这类控制可通过继电器,光耦、可编程控制器和开关元件来实现。还有一类控制叫复杂控制,是指对电动机的转速,转角,转矩,电压,电流,功率等物理量进行控制。 本电机控制系统基于51内核的单片机设计,采用LM298直流电机驱动器,利用PWM 脉宽调制控制电机,并通过光耦管测速,经单片机I/O口定时采样,最后通过闭环反馈控制系统实现电机转速的精确控制,其中电机的设定速度由电位器经A/D通过输入,系统的状显示与控制由上位机实现。经过设计和调试,本控制系统能实现电机转速较小误差的控制,系统具有上位机显示转速和控制电机开启、停止和正反转等功能。具有一定的实际应用意义。关键字:直流电机、反馈控制、51内核、PWM脉宽调制、LM298 一、系统原理及功能概述 1、系统设计原理 本电机控制系统采用基于51内核的单片机设计,主要用于电机的测速与转速控制,硬件方面设计有可调电源模块,串口电路模块、电机测速模块、速度脉冲信号调理电路模块、直流电机驱动模块等电路;软件方面采用基于C语言的编程语言,能实现系统与上位机的通信,并实时显示电机的转速和控制电机的运行状态,如开启、停止、正反转等。 单片机选用了51升级系列的STC12c5a60s2作为主控制器,该芯片完全兼容之前较低版本的所有51指令,同时它还自带2路PWM控制器、2个定时器、2个串行口支持独立的波特率发生器、3路可编程时钟输出、8路10位AD转换器、一个SPI接口等,

直流电机双闭环调速大作业

题目(中)直流电机双闭环控制调速 姓名与学号 指导教师 年级与专业

所在学院

目录: 一、电机控制实验目的和要求 (4) 二、双闭环调速控制内容 (4) 三、主要仪器设备和仿真平台 (5) 四、仿真建模步骤及分析 (5) 1.直流电机双闭环调速各模块功能分析 (5) 2.仿真结果分析(转速、转矩改变) (18) 3.转速PI调节器参数对电机运行性能的影响 (24) 4.电流调节器改用PI调节器后的仿真 (27) 5.加入位置闭环后的仿真 (28) 6.速度无超调仿真 (30) 七、实验心得 (32)

一、电机控制实验目的和要求 1、加深对直流电机双闭环PWM调速模型的理解。 2、学会利用MATLAB中的SIMULINK工具进行建模仿真。 3、掌握PI调节器的使用,分析其参数对电机运行性能的影响。 二、双闭环调速控制内容 必做: 1、描述Chopper-Fed DC Motor Drive中每个模块的功能。 2、仿真结果分析:包括转速改变、转矩改变下电机运行性能,并解释相应现象。 3、转速PI调节器参数对电机运行性能的影响。 4、电流调节器改用PI调节器后,对电机运行调速结果的影响。 选做: 5、加入位置闭环 6、速度无超调

三、主要仪器设备和仿真平台 1、MATLAB R2014b 2、Microsoft Officials Word 2016 四、仿真建模步骤及分析 1.直流电机双闭环调速各模块功能分析 参考Matlab自带的直流电机双闭环调速的SIMULINK仿真模型: demo/simulink/simpowersystem/Power Electronics Models/Chopper-Fed DC Motor Drive

51单片机流水灯实验报告单片机实验报告流水灯

51单片机流水灯试验 一、实验目的 1.了解51单片机的引脚结构。 2.根据所学汇编语言编写代码实现LED灯的流水功能。 3.利用开发板下载hex文件后验证功能。 二、实验器材 个人电脑,80c51单片机,开发板 三、实验原理 单片机流水的实质是单片机各引脚在规定的时间逐个上电,使LED灯能逐个亮起来但过了该引脚通电的时间后便灭灯的过程,实验中使用了单片机的P2端口,对8个LED灯进行控制,要实现逐个亮灯即将P2的各端口逐一置零,中间使用时间间隔隔开各灯的亮灭。使用rl或rr a实现位的转换。 A寄存器的位经过rr a之后转换如下所示: 然后将A寄存器转换一次便送给P2即MOV P2,A便将转换后的数送到了P2口,不断循环下去,便实现了逐位置一操作。

四、实验电路图 五、通过仿真实验正确性

代码如下:ORG 0 MOV A,#00000001B LOOP:MOV P2,A RL A ACALL DELAY SJMP LOOP DELAY:MOV R1,#255 DEL2:MOV R2,#250 DEL1:DJNZ R2,DEL1

DJNZ R1,DEL2 RET End 实验结果: 六、实验参考程序 #include #define uchar unsigned char #define uint unsigned int uchar table[]={0x01,0x02,0x04,0x08,0x10,0x20,0x40,0x80};

sbit P00=P0^0; sbit P01=P0^1; void delay(uchar t) { uchar i,j; for(i=0;i

直流电动机闭环调速试验

. University of South China 电气传动技术 实验报告1 实验名称直流电动机闭环调速实验 学院名称电气工程学院 指导教师 班级电力 学号 学生姓名 文档Word . 一预习报告

目的:1了解并掌握典型环节模拟电路构成方法。 2 熟悉各典型线性环节阶跃响应曲线。 3 了解参数变化对典型环节动态性能影响。内容: 1比例积分控制的无静差直流调速系统的仿真模型 2电流环调速系统的仿真模型 3转速环调速系统的仿真模型

文档Word . 二实验报告 直流电动机:额定电压U=220N,额定电流I=55A,额定转速 dNN n=1000r/min,电动机电动势系数C=0.192V·min/r。假定晶闸管整流eN装置输出电流可逆,装置的放大系数Ks=44,滞后的时间常数 T=0.00167s。电枢回路总电阻R=1.0Ω,电枢回路电磁时间常数 s T=0.00167s,电力拖动系统机电时间常数T=0.075s。转速反馈系数ml*U。对应额定转速时的给定电压·α=0.01Vmin/r=10V。双闭环调速系统中Ks=40,T=0.0017s,T=0.18s,T=0.03s,T=0.002s,T=0.01s,R=0onlmsoi Ω,C=0.132V·min/r,α=0.00666V·min/r,β=0.05V·min/r。e一比例积分控制的无静差直流调速系统中PI调节器的值为: K=0.56,1/τ=11.34 P 文档Word .

无静差调速系统输出(Scope图像1) 输出波形比例部分(Scope1图像2) 对比图1和图2可以发现,只应用比例控制的话,系统响应速度快,但是静差率大,而添加积分环节后,系统既保留了比例环节的快速响应性,又具有了积分环节的无静差调速特性,使调速系统稳定性相对更高,动态响应速度也快。 文档Word .

直流电机双闭环调速系统设计.

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊ 目录 1 绪论 (1) 1.1课题研究背景 (1) 1.2研究双闭环直流调速系统的目的和意义 (1) 2 直流电机双闭环调速系统 (3) 2.1直流电动机的起动与调速 (3) 2.2直流调速系统的性能指标 (3) 2.2.1静态性能指标 (3) 2.2.2动态的性能指标 (4) 2.3双闭环直流调速系统的组成 (6) 3 双闭环直流调速系统的设计 (8) 3.1电流调节器的设计 (8) 3.2转速调节器的设计 (10) 3.3闭环动态结构框图设计 (12) 3.4设计实例 (12) 3.4.1设计电流调节器 (13) 3.4.2设计转速调节器 (15) 4.Matlab仿真 (17) 4.1仿真结果分析 (19) 5 结论 (20) 参考文献 (21)

┊┊┊┊┊┊┊┊┊┊┊┊┊装┊┊┊┊┊订┊┊┊┊┊线┊┊┊┊┊┊┊┊┊┊┊┊┊1 绪论 1.1课题研究背景 直流调速是现代电力拖动自动控制系统中发展较早的技术。就目前而言,直流调速系统仍然是自动调速系统的主要形式,电机自动控制系统广泛应用于机械,钢铁,矿山,冶金,化工,石油,纺织,军工等行业。这些行业中绝大部分生产机械都采用电动机作原动机。有效地控制电机,提高其运行性能,对国民经济具有十分重要的现实意义。 以上等等需要高性能调速的场合得到广泛的应用。然而传统双闭环直流电动机调速系统多数采用结构比较简单、性能相对稳定的常规PID控制技术,在实际的拖动控制系统中,由于电机本身及拖动负载的参数(如转动惯量)并不像模型那样保持不变,而是在某些具体场合会随工况发生改变;与此同时,电机作为被控对象是非线性的,很多拖动负载含有间隙或弹性等非线性的因素。因此被控制对象的参数发生改变或非线性特性,使得线性的常参数的PID控制器往往顾此失彼,不能使得系统在各种工况下都保持与设计时一致的性能指标,常常使控制系统的鲁棒性较差,尤其对模型参数变化范围大且具的非线性环节较强的系统,常规PID调节器就很难满足精度高、响应快的控制指标,往往不能有效克服模型参数变化范围大及非线性因素的影响。 1.2研究双闭环直流调速系统的目的和意义 双闭环直流调速系统是性能很好,应用最广的直流调速系统。采用该系统可获得优良的静、动态调速特性。此系统的控制规律,性能特点和设计方法是各种交、直流电力拖动自动控制系统的重要基础。 20世纪90年代前的大约50年的时间里,直流电动机几乎是唯一的一种能实现高性能拖动控制的电动机,直流电动机的定子磁场和转子磁场相互独立并且正交,为控制提供了便捷的方式,使得电动机具有优良的起动,制动和调速性能。尽管近年来直流电动机不断受到交流电动机及其它电动机的挑战,但至今直流电动机仍然是大多数变速运动控制和闭环位置伺服控制首选。因为它具有良好的线性特性,优异的控制性能,高效率等优点。直流调速仍然是目前最可靠,精度最高的调速方法。 通过对转速、电流双闭环直流调速系统的了解,使我们能够更好的掌握调速系统的基本理论及相关内容,在对其各种性能加深了解的同时,能够发现其缺陷之处,通过对该系统不足之处的完善,可提高该系统的性能,使其能够适用于各种工作场合,提高其使用效率。并以此为基础,再对交流调速系统进行研究,最终掌握各种交、直流调速系统的原理,使之能够应用于国民经济各个

双闭环直流电机调速系统设计参考案例

《运动控制系统》课程设计指导书 一、课程设计的主要任务 (一)系统各环节选型 1、主回路方案确定。 2、控制回路选择:给定器、调节放大器、触发器、稳压电源、电流截止环节,调节器锁零电路、电流、电压检测环节、同步变压器接线方式(须对以上环节画出线路图,说明其原理)。 (二)主要电气设备的计算和选择 1、整流变压器计算:变压器原副方电压、电流、容量以及联接组别选择。 2、晶闸管整流元件:电压定额、电流定额计算及定额选择。 3、系统各主要保护环节的设计:快速熔断器计算选择、阻容保护计算选择计算。 4、平波电抗器选择计算。 (三)系统参数计算 1、电流调节器ACR 中i i R C 、 计算。

2、转速调节器ASR 中n n R C 、 计算。 3、动态性能指标计算。 (四)画出双闭环调速系统电气原理图。 使用A1或A2图纸,并画出动态框图和波德图(在设计说明书中)。 二、基本要求 1、使学生进一步熟悉和掌握单、双闭环直流调速系统工作原理,了解工程设计的基本方法和步骤。 2、熟练掌握主电路结构选择方法,主电路元器件的选型计算方法。 3、熟练掌握过电压、过电流保护方式的配置及其整定计算。 4、掌握触发电路的选型、设计方法。 5、掌握同步电压相位的选择方法。 6、掌握速度调节器、电流调节器的典型设计方法。 7、掌握电气系统线路图绘制方法。 8、掌握撰写课程设计报告的方法。 三、 课程设计原始数据

有以下四个设计课题可供选用: A 组: 直流他励电动机:功率P e =1.1KW ,额定电流I e =6.7A ,磁极对数P=1, n e =1500r/min,励磁电压220V,电枢绕组电阻R a =2.34Ω,主电路总电阻R =7Ω,L ∑=246.25Mh(电枢电感、平波电感和变压器电感之和),K s =58.4,机电时间常数 T m =116.2ms ,滤波时间常数T on =T oi =0.00235s ,过载倍数λ=1.5,电流给定最大值 10V U im =*,速度给定最大值 10V U n =* B 组: 直流他励电动机:功率P e =22KW ,额定电压U e =220V ,额定电流I e =116A,磁极对 数P=2,n e =1500r/min,励磁电压220V,电枢绕组电阻R a =0.112Ω,主电路总电阻R = 0.32Ω,L ∑=37.22mH(电枢电感、平波电感和变压器电感之和),电磁系数 C e =0.138 Vmin /r ,K s =22,电磁时间常数T L =0.116ms ,机电时间常数T m =0.157ms , 滤波时间常数T on =T oi =0.00235s ,过载倍数λ=1.5,电流给定最大值 10V U im =*,速度给定最大值 10V U n =* C 组: 直流他励电动机:功率Pe =145KW ,额定电压Ue=220V ,额定电流Ie=733A,磁极对数P=2,ne=430r/min,励磁电压220V,电枢绕组电阻Ra=0.0015Ω,主电路总电阻R =0.036Ω,Ks=41.5,电磁时间常数TL=0.0734ms ,机电时间常数

单闭环直流电机速度控制系统研究报告

一.实验原理 直流电机在应用中有多种控制方式,在直流电机的调速控制系统中,主要采用电枢电压控制电机的转速与方向。 功率放大器是电机调速系统中的重要部件,它的性能及价格对系统都有重要的影响。过去的功率放大器是采用磁放大器、交磁放大机或可控硅<晶闸管)。现在基本上采用晶体管功率放大器。PWM功率放大器与线性功率放大器相比,有功耗低、效率高,有利于克服直流电机的静摩擦等优点。 PWM调制与晶体管功率放大器的工作原理: 1.PWM的工作原理 图1-1PWM的控制电路 上图所示为SG3525为核心的控制电路,SG3525是美国Silicon General公司生产的专用。 PWM控制集成芯片,其内部电路结构及各引脚如图1-2所示,它采用恒频脉宽调制控制方案,其内部包含有精密基准源、锯齿波振荡器、误差放大器、比较器、分频器和保护电路等。调节Ur的大小,在A、B两端可输出两个幅度相等、频率相等、相位相互错开180度、占空比可调的矩形波<即PWM信号)。它适用于各开关电源、斩波器的控制。 2.功放电路 直流电机PWM输出的信号一般比较小,不能直接去驱动直流电机,它必须经过功放后再接到直流电机的两端。该实验装置中采用直流15V的直流电压功放电路驱动。 3.反馈接口 在直流电机控制系统中,在直流电机的轴上贴有一块小磁钢,电机转动带动磁钢转动。磁钢的下面中有一个霍尔元件,当磁钢转到时霍尔元件感应输出。

4.直流电机控制系统如图1-3所示,由霍耳传感器将电机的速度转换成电信号,经数据采集卡变换成数字量后送到计算机与给定值比较,所得的差值按照一定的规律<通常为PID)运算,然后经数据采集卡输出控制量,供执行器来控制电机的转速和方向。 图1-2 SG3525内部结构 图1-3 直流电机控制系统 5.PID原理 过程控制的基本概念 过程控制――对生产过程的某一或某些物理参数进行的自动控制。 1.模拟控制系统 图1-4 基本模拟反馈控制回路 被控量的值由传感器或变送器来检测,这个值与给定值进行比较,得到偏差,模拟调节器依一定控制规律使操作变量变化,以使偏差趋近于零,其输出通过执行器作用于过程。 控制规律用对应的模拟硬件来实现,控制规律的修改需要更换模拟硬件。 2.微机过程控制系统

双闭环直流电机调速系统

双闭环直流电机调速系统 摘要: 关键词: 引言:速度和电流双臂环直流调速系统,是由单闭环调速系统发展而来的,调速系统采用比例积分调节器,实现了转速的无静差调速。又采用直流截止负反馈环节,限制了启(制)动时的最大电流。这对一般要求不太高的调速系统,基本已能满足要求。但是由于电流截止反馈限制了最大电流,再加上电动机反电动势随着电机转速的上升而增加,使电流达最大值后便迅速将下来。此时,电机的转矩也减小,使启动过程变慢,启动时间较长。 一、双闭环直流调速系统的组成 转速、电流双闭环直流调速系统原理如图 1 所示。系统的组成框图如图2所示。

图1 转速-电流双闭环直流调速系统 图2 转速-电流双闭环直流电机调速系统组成框图 由图可见,该系统由两个反馈构成两个闭环回路,其中一个是由电流调节器ACR和电流检测——反馈环节构成的电流环,另一个是由速度调节器ASR和转速检测——反馈环节构成的速度环。由于速度环包围电流环,因此称电流环为内环,称速度环为外环。在电路中,ASR和ACR实行串级联接,即由ASR去“驱动”ACR,再由ACR去控制“触发电路”。图中ASR和ACR均为PI调节器。ASR、ACR的输入、输出量的极性主要视触发电路对控制电压的要求而定。 (一)直流电机各物理量间的关系 直流电动机的电路图如图3所示。由图可知,直流电动机有两个独立回路,一个是电枢回路,另一个是励磁回路。

1.电枢绕组的电磁转矩和转矩平衡关系: 2.电枢回路电压平衡关系 结合以上公式可推出即e e T a e a T K K R K U n ?Φ -Φ= 2 其中,Φ ?= e a K U n 0,称为电机理想空载转速,e e T a T K K R n ?Φ=?2为电机转速降,故 直流电机的调速方法 改变电压调速,采用此方法的特性曲线如下图6所示: 图6 改变U 时的机械曲线特性 3.直流电动机的系统框图 (二)转速调节器与速度调节器—比例积分电路(PI 调节器) PI 调节器的电路原理图如图7所示:

直流电机闭环调速课程设计

课程设计报告 课程名称:计算机控制系统 设计题目:直流电机闭环调速 院系:电气信息学院 班级: 姓名: 学号: 姓名: 学号: 姓名: 学号: 指导教师: 设计时间:

摘要 在电气时代的今天,电动机在工农业生产、人们日常生活中起着十分重要的作用。直流电机是最常见的一种电机,在各领域中得到广泛的应用。研究直流电机的控制和测量方法,对提高控制精度和响应速度、节约能源等都具有重要意义。电机调速问题一直是自动化领域中比较重要的问题之一。不同领域对于电机的调速性能有着不同的要求,因此,不同的调速方法有着不同的应用场合。 为了提高直流调速系统的动态、静态性能,通常采用闭环控制系统(主要包括单闭环、双闭环)。而在对调速指标要求不高的场合,采用单闭环即可。闭环系统较之开环系统能自动侦测把输出信号的一部分拉回到输入端,与输入信号相比较,其差值作为实际的输入信号;能自动调节输入量,能提高系统稳定性。在对调速系统性能有较高要求的领域常利用直流电动机,但直流电动机开环系统稳定性不能满足要求,可利用转速单闭环提高稳态精度。 本次课程设计利用软件定时方式采用Intel 8255A可编程外设接口芯片唐都TD-PITC 实验系统上模拟直流电动机闭环调速系统,A/D转换器实现模拟信号到数字信号的转换,设置电机转速的给定值,通过PWM方式可实现电机转速的调节,LED灯显示电机转速的大小状态。 关键字:闭环调速、inter 8255A、A/D转换器、PWM、LED

目录 摘要 1 控制系统总体设计方案 (3) 2 系统的组成及工作原理 (4) 2.1 8255工作原理 (4) 2.2 转速调节原理 (5) 2.3 A/D转换原理 (5) 2.4 LED灯的工作原理 (6) 2.5 实现两位十进制数的显示 (6) 3 硬件设计 (7) 3.1 接线图 (7) 4 软件设计 (8) 4.1 转速调节程序设计框图 (8) 4.2 主程序流程图 (9) 4.3 程序清单 (10) 5 调试及结果 (21) 5.1 调试步骤 (21) 5.2结果分析 (21) 5.2结论 (21) 参考文献 (22)

发光二极管流水灯实验

实验一发光二极管流水灯实验 一、实验目的: 1.通过AT89C51单片机控制8个发光二极管,八个发光二极管分别接在单片机的P0.0-P0.7接口上,输出“0”时,发光二极管亮。开始时P0.0→P0.1….→P0.7,实现亮点以1HZ频率循环移动。 2.用PROTEUS 设计,仿真以AT89C51为核心的发光二极管流水灯实验装置。 3.掌握发光二极管的控制方法。 二、PROTEUS电路设计: 三、程序部分 #include sbit control = P3^7; void delay(int z) { int x,y; for(x=z;x>0;x--) for(y=110;y>0;y--); } void main() { int i,tmp; control = 0; P0 = 0xef; delay(1000); for(i=1;i<=7;i++) { tmp = P0; P0 = (tmp>>1)+ 0x80; delay(1000); } }

实验二开关控制LED数码管实验 一、实验目的: 1.通过AT89C51读入4位开关K1、K2、K3、K4的输入状态,并按照二进制编码关系0-F输出到数码管显示。(如K4K3K2K1全部按下,则显示F;若只有K2按下,则显示2。) 2.掌握LED数码管的静态显示。 3.掌握I/O口的控制方法。 二、PROTEUS电路设计:

三、程序部分 #include unsigned char table[]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82, 0xF8,0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E,}; unsigned char KEY; void main() { while(1) { KEY = P2; switch(KEY) { case 0xff:P0 = table[0];break; case 0xfe:P0 = table[1];break; case 0xfd:P0 = table[2];break; case 0xfc:P0 = table[3];break; case 0xfb:P0 = table[4];break; case 0xfa:P0 = table[5];break; case 0xf9:P0 = table[6];break; case 0xf8:P0 = table[7];break; case 0xf7:P0 = table[8];break; case 0xf6:P0 = table[9];break; case 0xf5:P0 = table[10];break; case 0xf4:P0 = table[11];break; case 0xf3:P0 = table[12];break; case 0xf2:P0 = table[13];break; case 0xf1:P0 = table[14];break; case 0xf0:P0 = table[15];break; default :break; } } }

单闭环直流调速系统

第十七单元晶闸管直流调速系统 第二节单闭环直流调速系统 一、转速负反馈直流调速系统 转速负反馈直流调速系统得原理如图l7-40所示。 转速负反馈直流调速系统由转速给定、转速调节器ASR、触发器CF、晶闸管变流器U、测速发电机TG等组成。 直流测速发电机输出电压与电动机转速成正比。经分压器分压取出与转速n成正比得转速反馈电压Ufn。 转速给定电压Ugn与Ufn比较,其偏差电压ΔU=Ugn—Ufn送转速调节器ASR输入端。 ASR输出电压作为触发器移相控制电压Uc,从而控制晶闸管变流器输出电压Ud。 本闭环调速系统只有一个转速反馈环,故称为单闭环调速系统、 1.转速负反馈调速系统工作原理及其静特性 设系统在负载TL时,电动机以给定转速n1稳定运行,此时电枢电流为Id1,对应转速反馈电压为Ufn1,晶闸管变流器输出电压为Udl。 当电动机负载TL增加时,电枢电流Id也增加,电枢回路压降增加,电动机转速下降,则Ufn也相应下降, 而转速给定电压Ugn不变,ΔU=Ugn—Ufn增加。 转速调节器ASR输出电压Uc增加,使控制角α减小,晶闸管整流装置输出电压Ud增加,于就是电动机转速便相应自动回升,其调节过程可简述为: T L↑→Id↑→Id(R∑+Rd)↑→n↓→Ufn↓→△U↑→Uc↑→α↓→Ud↑→n↑。 图17-41所示为闭环系统静特性与开环机械特性得关系。

图中①②③④曲线就是不同Ud之下得开环机械特性。 假设当负载电流为Id1时,电动机运行在曲线①机械特性得A点上、 当负载电流增加为Id2时,在开环系统中由于Ugn不变,晶闸管变流器输出电压Ud也不会变,但由于电枢电流Id增加,电枢回路压降增加,电动机转速将由A点沿着曲线①机械特性下降至B’点,转速只能相应下降、 但在闭环系统中有转速反馈装置,转速稍有降落,转速反馈电压Ufn就相应减小,使偏差电压△U增加,通过转速调节器ASR自动调节,提高晶闸管变流器得输出电压Ud0由Ud01变为Ud02,使系统工作在随线②机械特性上,使电动机转速有所回升,最后稳定在曲线②机械特性得B点上。 同理随着负载电流增加为Id3,Id4,经过转速负反馈闭环系统自动调节作用,相应工作在曲线③④机械特性上,稳定在曲线③④机械特性得C,D点上。 将A,B,C,D点连接起来得ABCD直线就就是闭环系统得静特性、 由图可见,静特性得硬度比开环机械特性硬,转速降Δn要小。闭环系统静特性与开环机械特性虽然都表示电动机得转速-电流(或转矩)关系,但两者就是不同得, 闭环静特性就是表示闭环系统电动机转速与电流(或转矩)得静态关系,它只就是闭环系统调节作用得结果,就是在每条机械特性上取一个相应得工作点,只能表示静态关系,不能反映动态过程。 当负载突然增加时,如图所示由Idl突增到Id2时,转速n先从A点沿着①曲线开环机械特性下降,然后随着Ud01升高为Ud02,转速n再回升到B点稳定运行,整个动态过程不就是沿着静特性AB直线变化得。 2.转速负反馈有静差调速系统及其静特性分析 对调速系统来说,转速给定电压不变时,除了上面分析负载变化所引起得电动机转速变化外,还有其她许多扰动会引起电动机转速得变化,例如交流电源电压得变化、电动机励磁电流得变化等,所有这些扰动与负载变化一样都会影响到转速变化。对于转速负反馈调速系统来说,可以被转速检测装置检测出来,再通过闭环反馈控制减小它们对转速得影响。也就就是说在闭环系统中,对包围在系统前向通道中得各种扰动(如负载变化、交流电压波动、电动机励磁电流得变化等)对被调量(如转速)得影响都有强烈得抑制作用、但就是对于转速负反馈调速系统来说,转速给定电压Ugn得波动与测速发电机得励磁变化引起得转速反馈电压Ufn变化,闭环系统对这种给定量与检测装置得扰动将无能为力。为了使系统有较高得调速精度,必须提高转速给定电源与转速检测装置得精度。

相关主题
文本预览
相关文档 最新文档